数字逻辑电路范文

2023-09-22

数字逻辑电路范文第1篇

由冒险现象产生的毛刺, 通常对电路的触发清零端、触发复位端、时钟端、锁存器的门控端和专用芯片的控制端等产生严重的影响, 会使电路发生错误动作, 从而造成数字系统的逻辑混乱, 尤其对尖峰脉冲或脉冲边沿敏感的电路更是如此。可见, 在组合逻辑电路中对冒险现象的判断与消除十分的重要。

1 冒险现象的判断

判断竞争电路中是否产生冒险, 一般有四种方法, 即代数法、卡诺图法、计算机辅助分析法、实验法。

1.1 代数法

在n变量的逻辑函数表达式中, 给n-1个变量以特定取值 (0或1) 后, 表达式中仅保留某个具有竞争能力的变量x, 使逻辑函数表达式变成x+x或x·x形式, 则可以判断该表达式的电路中存在冒险。

1.2 卡诺图法

在逻辑函数的卡诺图中, 函数的每个与项 (或项) 对应卡诺图上一个卡诺圈, 若两个卡诺圈相切, 则相切处将会发生冒险。

1.3 计算机辅助分析法

代数法和卡诺图法虽然简单, 但有很大的局限性, 因为实际的逻辑电路输入变量通常会比较多, 并且有可能多个输入变量同时发生变化。在这种情况下, 很难利用上述的方法判断所有的冒险现象。计算机辅助分析法是通过在计算机上运行数字电路的模拟程序, 迅速地查找到电路中的冒险现象, 例如EDA软件和某些基于功能仿真的算法。

1.4 实验法

实验法是检验电路是否存在冒险现象的最有效、最可靠的方法。它是利用实验手段检查冒险的方法, 即在逻辑电路的输入端, 加入信号所有可能的组合状态, 用逻辑分析仪或示波器, 捕捉出可能出现的冒险现象。这种方法, 虽然有点繁琐, 但却是最可靠的方法。

2 消除冒险现象的方法

当逻辑电路中出现冒险现象时, 可能会对电路的正常工作造成极大的不利, 此时必须设法消除冒险现象。常用的消除冒险现象的方法也有四种, 即代数法、卡诺图法、取样脉冲法、输出端加滤波电容法。

2.1 代数法

逻辑表达式1p=AB+C, 当B=C=1时可改写为1p=A+, 存在冒险现象, 此时若在p1式中加上—“1”电平, 便可以消除冒险。而且这个1电平必须是出现冒险瞬间时输入的“1”电平, 这样不影响p1逻辑关系的与项才行。将p1改写成1p=A+与项BC=1可以做到。见图1, 虚线部分是后加的。

2.2 卡诺图法

卡诺图法消除竞争冒险实质上和代数法是一致的, 应根据条件采用何种方法来消除竞争冒险。

从卡诺图上看, 既然问题出在两个卡诺圈的相切处, 只要增加一些卡诺圈将两个互不搭接的卡诺圈——搭接起来, 就可已实现消除冒险的目的, 所以卡诺图法也叫增加多余项法。还以逻辑表达式1p=AB+为例, 见图2, 其中虚线的卡诺圈为后添加的, 添加后的逻辑表达式为1p=AB++BC。

2.3 取样脉冲法

一般来说, 多个输入发生状态变化时冒险是难以消除的, 当组合电路的冒险影响了整个系统的工作时, 可以用取样的方法解决。取样脉冲仅在输出处于稳定值的期间到来, 以保证输出正确的结果, 在没有取样脉冲期间, 输出的信息是无效的。常用的取样脉冲的极性和所加位置如图3所示。如图4 (a) 所示的逻辑电路, p的高电平出现在电路到达稳定状态以后, 所以G0~G3每个门的输出都不会出现尖峰脉冲。但需注意, 这时G0~G3正常的输出信号将变成脉冲信号, 而且它们的宽度与取样脉冲相同。

2.4 输出端加滤波电容法

组合电路中由竞争冒险产生的毛刺, 一般都是低频分量少而高频分量很丰富的信号, 由此, 可以在组合电路的输出端添加一积分器 (低通滤波器) , 从而达到通高频阻低频的作用。为了能消除毛刺, 必须要正确选择积分电路的时间常数τ=RC。时间常数要比毛刺的宽度大, 以达到消除毛刺的目的, 但也不能太大, 以免使信号形状出现不能允许的畸变。RC的值一般都是通过实验的方法来确定的。如L=A⋅C+A⋅B+A⋅C逻辑表达式, 逻辑电路见图5 (a) , 在输出端加积分电路后, 得到比较平滑的信号, 见图5 (b) 。

3 结语

在数字系统设计中, 冒险现象的消除问题是必须要解决的。通过代数法, 卡诺图和EDA软件等方法来查找冒险现象都是比较传统的, 具有最普遍的意义。在消除冒险方法中, 代数法和卡诺图法简便, 但局限性比较大, 不适合输入变量较多及较复杂的电路;脉冲取样法简单而且不需要增加电路元件就可以从根本上消除毛刺, 但要求脉冲与输入信号同步, 而且对取样脉冲的宽度, 极性, 作用时间都有严格的要求;电容滤波法简单易行, 但输出电压的波形边沿会随之变形, 仅适合对输出波形前、后沿要求不高。因此对于不同的电路应给予不同方法来消除毛刺, 从而达到方便易行低成本的目的。

摘要:随着科学技术的发展, 数字电路在实际应用中起到了举足轻重的作用, 例如PLD、FPGA等, 而在组合逻辑电路中产生的竞争与冒险现象, 会对某些数字系统产生不良影响甚至混乱。本文主要讨论了对组合逻辑电路中冒险现象的判断方法及消除冒险现象的基本方法。

关键词:组合逻辑,竞争冒险,判断方法,消除方法

参考文献

[1] 胡晓光.数字电子技术基础[D].北京:北京航天航空大学, 2007, 3.

[2] 曹汉房.数字电路与逻辑设计基础[M].北京:电子工业出版社, 2007, 7.

[3] 岳怡.数字电路与数字电子技术[M].西北:西北工业大学出版社, 2000, 12.

[4] 何伟, 张玲.消除CPLD/FPGA器件中的毛刺[J].重庆大学学报, 2002, 25 (12) .

[5] 宣丽萍.FPGA器件的竞争与冒险现象及消除方法[J].现代电子技术, 2005, 10.

[6] 孙加存.浅析FPGA/PLD设计中的竞争与冒险问题[J].大众科技, 2005 (4) .

数字逻辑电路范文第2篇

关键词:数字逻辑电路;教学改革

《数字逻辑电路》课程是电类专业一门重要的专业基础理论课程。由于计算机技术和微电子技术发展十分迅速以及数字技术的广泛应用,新技术、新器件更新的速度加快,使得教学内容增多与学时紧张的矛盾日益突出。在这种情况下,教师如何在有限的时间内,精心设计,教学方案,改革教学方法和教学手段,激发学生的学习热情,提高教学质量,是一个值得认真研究和深入讨论的问题。

更新观念,优化教学内容

更新观念教师必须首先更新观念,实现由传统教育观念向创新教育观念的转变,逐步形成真正以学生为中心,以掌握知识为基础,以能力培养为主线,以素质提高为目标的新教学方法。教学中要将传统的以教师为主的教学模式改变为以学生为主体,采用启发式、讨论式和研究式等教学方法,切忌死守课本,从头到尾按大纲“满堂灌”。要把考试作为促进教学的手段,而不是学习的目的,使我们培养的技能人才在掌握基本理论、基本知识的同时,能够在独立分析和解决问题的能力、创新能力等综合素质方面得到提高。

教师应站在本学科的前沿创新教育对教师的要求已不再只是“传道、授业、解惑”的传统功能和作用,而是要在学生创新教育过程中起引导和示范作用,即以自身的创新意识、思维和能力等因素去感染、带动学生,使其创新能力得以形成和发展。笔者认为,只有创新型的教师才能实施创新教育,才能培养出创新型的学生。因此,任课教师对本课程的新知识和新发展都应给予高度关注,了解新技术和最新成果,自觉学习新知识,提高自身的综合素质,开阔视野,提高应用新知识的能力。在教学过程中,自觉地将知识传授与创新思维相结合,发掘学生的创新潜能,捕捉学生创新思维的闪光点,使教与学融为一体,取得好的教学效果。

优化、调整教学内容新概念、新器件和新方法的出现,必然引起课程内容的调整、优化。根据电子技术发展的客观实际和“厚基础、宽口径、大专业”及多层次人才培养的要求,教学内容的改革要符合“打好基础,精选内容,逐步更新,利于教学”的要求。在此基础上,笔者确立了加强集成、削弱分立;重视外部特性,淡化内部分析;强化基本功能,突出应用设计的教学内容优化、调整的原则。为此,笔者在教案上结合考试要求做了如下调整:(1)削减集成电路内部结构及详细工作过程的内容,着重于集成电路的逻辑功能、外部特性和典型应用。如对三态门、传输门、异或门等,只介绍其功能、符号及应用,删去内部结构及工作过程的分析。将原触发器一章内容压缩为二节,介绍集成触发器的功能、符号及应用。(2)增强大规模集成电路的内容,在数字电路第六章,以及增加了可编程阵列PAL、通用阵列逻辑GAL、高密度可编程器件CPLD中及现场可编程门阵列FPGA、数字系统设计等反映数字电子技术新成果的内容。在实施过程中,笔者深感优化课程内容符合课程发展和学生素质能力培养的需要。

大胆创新,改革教学方法

采用启发式教学方法所谓启发式教学就是在教师主导下,为学生创造一个好的环境,调动学习的主动性、积极性、创造性,引导学生举一反三,激励他们的内在动机,促进学生自学能力、创造能力和组织能力的发展。为此,每一节课教师都要精心设计教案,注重知识点的引入和综合运用,使课堂教学有声有色、教与学互相激励。具体做法如下:(1)知识点的引入是一个新知识点的起点,是课堂教学中的首要环节。笔者在教学中逐渐意识到:知识点的恰当引入,可以激发学生的学习热情,启迪思维,引发求知欲。好的开始是成功的一半,教师要善于提出问题,揭示矛盾,激发学生的强烈求知欲望,加强学生的联系能力、发散思维能力,特别是培养学生发现问题的能力。因此,在讲课中,可根据知识点的特点,采用不同的引入方式。如在讲计数器一节时,笔者选用一个生活中常用的数字钟作为实例引入,提出“如何实现计时”,引出计数的概念,进入计数器的逻辑功能的学习,再通过“如何实现计时所需的60进制”,引出集成计数器的扩展应用——反馈预置和反馈清零法。(2)挖掘知识的内在联系,注意知识点的综合运用是教学中重要的一环。当讲完一章时,除了本章内容小结外,笔者常用一两道典型例题融合一章内容,使概念和分析、设计方法具体化。如在讲完组合逻辑电路一章后,笔者举了一个血型配对电路的例子,先用小规模门电路设计,再分别用中规模器件译码器、选择器、比较器(附加少量门电路)设计,不但使知识系统和深化,而且达到了举一反三,触类旁通的作用,使学生对这一章中的几个重点、难点容易掌握,印象深刻。(3)对教学内容精讲、少讲,给学生留出充分的思维空间,留出一些问题让学生去想,去自学,去研究,去讨论。引导、训练学生思维,而不要代替他们思维,更不要制约他们的思维。(4)针对学生的不同情况,实行个性化教学,做到因人施教,尤其是对于学习能力较差的学生,应加强他们的知识积累,通过分析例题的思路,训练学生的思维能力,使这类学生在解决类似问题时,能在理解的基础上逐渐形成自己的思考方式,提高其自学的能力。

发挥考试的导向作用应抓住考试这一教学环节,引导和教育学生,端正考试动机,巩固课程教学质量。笔者始终坚持“提高学生素质,巩固知识结构”的原则,在拟制测验题中,除了考查基本知识和能力外,有意出一些扩展、超纲的题目,考查学生的综合分析和应用能力。根据做题情况分类进行分析、辅导讲解。通过复习,不仅巩固了学生所学的知识,而且提高了学生的学习能力和创新意识。

加强理论与应用的结合《数字逻辑电路》课程是一门实践性和应用性都很强的课程。在教学中,教师如果只注重从理论到理论,而忽视应用,学生就会感到枯燥无味,听课情绪低落。笔者在教学中十分注重理论与实际应用的结合。例如,在讲组合逻辑电路的设计时,尽量举一些实际中常见的报警电路、密码锁电路、控制电路(如怎样实现三个卧室分别控制客厅灯的亮灭)等例子,激发了学生的学习兴趣,提高了课堂效率,收到了较好的效果。

合理安排各个教学环节在教学过程中,笔者始终坚持“工夫花在平时,注重打牢基础,不留知识死角”的施教原则。通过合理安排自学、重点讲解、课内外辅导答疑、作业讲评、单元测验等各个教学环节,加强教学效果。

综上所述,优化教学内容,精心设计教学方案是提高课程教学质量的重要基础;激发和调动教师、学生双方积极性是提高课程教学质量的不竭动力;注重创新教育是从根本上提高教学质量的力量源泉。

参考文献:

[1]钟肇新,范建东.可编程序控制器原理及应用(第三版)[M].广州:华南理工大学出版社,2004.

[2]劳动和社会保障部教材办公室.电子技术基础(第三版)[M].北京:劳动社会保障出版社,2001.

[3]技工学校电子类专业教材编审委员会.数字逻辑电路(第二版)[M].北京:中国劳动出版社,1994.

作者简介:

邓水先(1964—),男,广东高要人,广东省肇庆市高级技工学校讲师,主要从事电子专业教学。

数字逻辑电路范文第3篇

第一次做的数字逻辑实验是全加器,那时什么都还不太了解,听老师讲解完了之后也还不知道从何下手,看到前面的人都开始着手做了,心里很着急可就是毫无头绪„„

老师说要复制一些文件辅助我们做实验(例如:实验报告模板、实验操作步骤、引脚等与实验有关的文件),还让我们先画原理图。这时,关于实验要做什么心里才有了一个模糊的框架。看到别人在拷贝文件自己又没有U盘只好等着借别人的用,当然在等的时候我也画完了全加器的原理图。

拷了文件之后有了实验操作步骤才开始慢慢跟着步骤操作,如此很不熟练的开始了第一次实验。中途仿真编译等了好久终于激动的看到进度显示99%的时候突然就“无法响应”了!失落之余也只能关了重新做„„时间很快就过去了,轮到第二组,实验还是没有完成。花了一些时间终于下载好了结果也正确了,但由于是第二组实验的时间所以只能等下次再交给老师检查。但是心里还是很开心!到最后通过自己的努力最终把第一个实验完成了,这是一个好的开始!

但是我没想到的是,后来做第二三四个实验的时候都来不及给老师检查这第一个实验。不过开心的是做完第五个实验的时候终于把第一次实验的尾收了,突然觉得原来认为很难做的第一个实验现在看来是那么的简单!

真的发现实验的次数多了,熟练了,知道自己要做的是什么,明确了目标,了解了方向,其实也没有想象中那么困难。

实验二心得

第二次做的实验是编码及译码器的应用,相对于第一次的实验难上了好几个层次。而且之前记得的操作步骤现在几乎忘了,只能从零开始,看一眼操作步骤做一步。

在老师的指导下勉强把原理图画出来了,但是编译的时候还是发现了很多错误甚至少了一些器件。在慢慢摸索的过程中也渐渐懂得了其中的原理。该选什么器件?线怎么连?要选择那些引脚?等等。虽然到最后结束两小时之后还是没能完成本次实验,但是心里有一种成就感,因为我终于开始理解了!毕竟这个实验对于我来说还是有一定挑战的。

这一次把做的工程文件都上传到服务器上了,所以先对于第一次实验就方便多了,不用再因为换机子的问题到处借U盘了。我发现想安安心心的做好实验选择一台好的电脑、好的下载电路板和好的数据线是很关键的,所以以后做实验一定要早点到!

两次的实验都没能拿到实验报告让我非常着急,甚至担心第三次实验又会像这次一样。但是这个想法在下一秒马上被否决,我对自己有信心,有一个声音告诉我,我一定能完成实验!

两次实验都因为准备不足导致时间不足而没完成实验,所以下次实验一定要先做好充分的准备,比如先画好原理图,熟记操作步骤等。

最终第二次实验也是在做完第五次实验才完成,所以第二次实验成为了最后一个被检测并得到实验报告的实验。而且中途还出现了一些问题,但被我解决了,所以结果是美好的!

实验三心得

第三个实验要做的是用4位全加器74LS283实现由8421码到余3码的转换。这个实验虽然比第二个实验难一些,但是经过两次实验后我已经能较熟练的运用软件了,而且之前也把原理图大概画出来了,经过老师的讲解很快就准确的做好原理图,这次选择的电脑也运行得很快,又不用进行波形仿真的操作,一个小时没有就完成了,但是在等下载电路板和数据线这里花了大部分时间。这一次顺利的完成了实验,拿到了第一张实验报告纸!

介于前两次实验都遇到了重重阻碍,这一次如此顺利的完成实验让我有点受宠若惊,有点经历风雨见彩虹的感觉,也超有成就感!也让我有了更大的信心,只要用心努力做就一定能顺利完成实验!但是还是没有剩余的时间来完成实验一二,眼看只有两次机会了说没有压力是不可能的,但是没有压力哪来的动力呢?有了成功的经验以后操作起来就更加顺了,一定可以把实验完成的!

这一次能这么顺利的完成实验,准备工作是关键。预前画好原理图,选择电脑、下载电路板,由于借U盘不方便我还买了U盘,一切准备就绪接下来做实验就简单多了。相信只要按照这种方法进行实验一定会像这次一样收到很好的效果!

我也相信随着熟练度的提高知识的积累速度也一定会提上来,从而腾出时间来完成实验一和实验二。

实验四心得

此次实验旨在学习用触发器和门电路设计时序电路,熟练掌握D触发器和JK触发器的特性,能够灵活,实现用D触发器 设计四位移位寄存器。

现在的我已经熟练掌握了操作步骤了,也能较快的画出原理图,有了之前的经验,这次实验起来也没有之前那般困难了。也能处理出现的一些问题了,但是在最后下载那一步的时候还是花了很多时间改错,换机子、换下载电路板、换数据线问题依然存在,后来让一个同学检查了一下才知道原来下载的器件选错了!大叹一声,本来简单的一个错误可是由于不了解其中的所以然就是弄不出正确的结果,这让我想到了一句话:内行人赚外行人的钱。真的就是这样!懂得就很简单不懂就无法继续操作,哪怕只是一个小小的错误。

这一次因为这个原因又没有多余的时间做实验一二,但幸运的是实验四成功通过!经过这样多次出现问题解决问题,操作起来也更加的得心应手了!哪条线链接错误,哪个引脚设置错误,也能很快的找到了,动作开始渐渐完善起来。

还有一次实验机会了,要一次做完三个实验来得及吗?压力更大了,但是就算不能全部完成也要尽全力在有限的实验时间里完成几个实验,而且我有预感下次一定能顺利做完!因为我自认为不会在有什么错误什么问题能让我停滞不前了。

最后一次全力以赴!

我相信自己一定可以完成实验!

实验五心得

这次实验目的是学习用集成触发器设计时序电路。实验是设计一个60进制计数器,用一个数码管显示,用四个二选一电路实现个位和十位的切换。这次实验进行的很顺利,在快速完成第五个实验之后又顺利的做完了第一个实验的收尾工作。在第二组实验的时候我也完成了我的第二个实验。所以结果就是如我所愿的将五个实验全部完成!实实在在的幸福感此刻还深深的感受着!

不得不说只有努力后坚持下来了,才会成功!

已经做了有四次数字逻辑实验,每一次都有新的收获,在做实验的过程中,由想象中的接触到实物,经过自己的思考,动手,牢牢的记在了心里。对一些问题有了自己的想法。在作实验的时候,带着思考与问题去做实验,在做的过程中,问题会不断的出现,有些时候会发现真的好奇妙,动手能力在无形中提高。 实验总结经验如下: 1早点去,找台好装置。

2做实验时一定要严格按照要求操作避免走弯路。 3实验时别停下来,灵感稍纵即逝。

数字逻辑电路范文第4篇

(一) 课程地位、性质和任务

《数字电路与逻辑设计》是计算机科学与技术专业的主干课程,是一门专业技术基础课。它不仅为《计算机组成原理与汇编程序设计》、《微机接口技术》、《计算机系统结构》、《数据通信与计算机网络》等后续课程提供必要的基础知识,而且是一门理论与实践结合密切的硬件基础课程。

(二) 课程教学基本要求

本课程是计算机科学与技术专业的一门专业基础课程,通过本课程的学习,使学生熟悉数字电路的基础理论知识,理解基本数字逻辑电路的工作原理,掌握数字逻辑电路的基本分析和设计方法,具有应用数字逻辑电路,初步解决数字逻辑问题的能力,为学习计算机硬件打下扎实的基础。

(三) 课程主要内容及学时分配

第一章 逻辑代数基础

逻辑代数是分析和设计数字电路的数学工具,本章主要介绍逻辑代数的公式、定理及逻辑函数的化简方法,要求掌握常用进制及其转换,基本和常用逻辑运算,逻辑代数的公式、定理,逻辑函数的公式、图形化简化,逻辑函数的五种表示方法及相互之间的转换。 教学重点:

逻辑代数的公式、定理,逻辑函数的公式、图形化简法。 教学难点:

公式、定理、规则的正确应用,逻辑函数化简的准确性。 方法提示:

通过多举例子,多做练习以提高对公式应用的熟练性。

第二章 逻辑门电路

集成逻辑门是构成数字电路的基本单元,本章主要介绍MOS和TTL集成逻辑门的逻辑功能的电气特性。要求掌握高、低电平与正、负逻辑的概念,二极管、三极管、MOS管的开关特性,熟悉二极管与门和或门,三极管非门的电路结构及工作原理,掌握其电气特性和功能。掌握与门、或门、非门、与非门、或非门、与或非门、异或门、三态门、OC门、CMOS传输门的逻辑符号、逻辑功能,熟悉各种门电路的特点和使用方法。 教学重点:

CMOS和TTL集成门电路重点是外部特性,即逻辑功能和电气特性。 教学难点:

CMOS和TTL集成门电路的电气特性

方法提示:

理论与实践相结合,加深对TTL集成门电路的电气特性的理解掌握。

第三章 组合逻辑电路

本章主要介绍组合逻辑电路的分析和设计方法以及常用典型组合电路的功能、应用。要求掌握组合电路的特点、基本分析和设计方法。掌握编码器、译码器、数值比较器、数据分配器、数据选择器、加法器等常用组合电路的功能、应用及实现方法。熟悉典型中规模集成组合逻辑器件的功能及用中规模集成器件实现组合逻辑函数的方法,了解组合电路中的竞争冒险。

教学重点:

组合逻辑电路的分析和设计方法,常用中规模集成器件的功能和应用。 教学难点:

组合逻辑电路的设计

方法提示:理论联系实际,加深理解记忆。

第四章 触发器

本章主要介绍各类触发器的逻辑功能及触发公式,它是构成时序电路的基本单元,要求熟悉RS、JK、D、T触发器的电路结构、工作原理,掌握RS、JK、D、T触发器的逻辑符号、逻辑功能表示方法、触发方式及触发器间的相互转换。 教学重点:

各类触发器的逻辑功能及触发方式。 教学难点:

触发器的触发方式。 方法提示:

多举例、多看、多练习,在第五章时序逻辑电路的教学中再强调。

第五章

时序逻辑电路

本章主要介绍时序电路的分析和设计方法,以及计数器等常用典型时序电路的功能及应用。要求:掌握时序电路的特点、分类、功能描述方法,时序电路的基本分析和设计方法。熟悉计算器、寄存器、移位寄存器、顺序脉冲发生器的功能、应用。掌握同步、异步计数器的工作原理,常用中规模集成计数器的功能、应用以及用中规模集成计数器构成N进制计数器的方法。

教学重点:

时序电路的分析和设计方法,计数器、寄存器的功能、分类,常用中规模集成计数器功能、应用。

教学难点:

时序逻辑电路的设计方法。

第六章

半导体存储器

本章介绍只读存储器(ROM)、随机存储器(RAM)以及存储器的扩展。 教学重点:

存储器的扩展 教学难点:

存储器内部结构、原理

第七章 数模、模数转换电路

本章主要介绍D/A转换器和A/D转换器的基本原理,几种典型D/A,A/D转换器电路。要求熟悉D/A,A/D转换器的基本原理及倒T型电阻网络D/A转换器,逐次逼近型、双积分型A/D转换器的基本工作原理。 教学重点:

典型D/A,,A/D转换器的基本工作原理。 教学难点:

典型D/A,A/D转换器的基本工作原理。

第八章 可编辑逻辑器件

本章介绍可编程逻辑器件(PLD)的基本结构及分类,PLA,PAL,GAL的基本原理特点及应用。

教学重点:

PLD的基本结构,PLA的基本原理、特点及应用。 教学难点: PLA、GAL的基本原理、特点及应用。

第九章 可编程逻辑器件的开发及应用

自学提高

第十章 数字电路CAD技术

自学提高

(四) 使用教材及参考书目:

1、 使用教材

《数字电路与逻辑设计》

子节涛等编著

国防科技大学出版社

2、 参考书目

《数字电子技术基础》

阎石主编

高等教育出版社 《数字电子技术基本教程》

宋樟林等主编著

《电子技术基础》(数字部分)

康华光主编

高等教育出版社

《操作系统》课程教学大纲

(一) 本课程地位、性质和任务

《操作系统》是计算机专业的必修主要课程之一,是研究如何有效地管理、使用计算机的一门学科,为《编译系统》、《计算机网络》、《分布式操作系统》等课程提供必要的基础知识。操作系统是计算机系统必须配置的一种系统软件,几乎所有的计算机系统都离不开操作系统,它在计算机系统中具有举足轻重的地位,它向下隐藏了计算机系统的具体细节,向上为计算机系统中其他软件提供一致的服务和使用界面,为用户提供一个良好的操作环境。 通过学习和研究操作系统,可以打破操作系统的神秘性,了解操作系统的内部结构。掌握操作系统的设计方法,熟悉操作系统的操作和使用。为锻炼学生开发系统的综合能力打下扎实的基础。

(二) 课程教学的基本要求

该课程采用讲授和上机实验相结合的教学方法,要求学生通过该课程的学习: 正确理解操作系统的概念,分类和形成与发展;特别是操作系统的基本特征和操作系统的功能结构;

正确理解系统的基本工作单位和进程的五大特征,熟悉掌握操作系统中进程管理的功能;

掌握操作系统存储管理有关的基本概念,深入理解几种常用存储管理的基本原理及实现方法;

理解操作系统设备管理的任务,掌握中断技术、通道技术和缓冲技术实现中央处理器与外部设备的并行工作,理解设备的调度和分配;

理解文件系统的功能和文件的安全性,掌握文件系统中文件的组织和存储; 正确理解作业的调度和控制、操作系统的接口;

所学的操作系统原理对现行主流操作系统进行实例分析;

(三) 课程主要内容及学时分配

1、 操作系统概论

知识点:操作系统的定义、视点及认识;操作系统的基本类型及其特点;操作系统的形成与发展;

重点:掌握操作系统的基本特征和操作系统的地位、作用和效果; 教学难点:虚拟机概念的讲解。

2、 处理器管理 知识点:中断、多道程序设计、并发程序设计、进程的概念;进程管理功能;进程的控制及调度;处理器基本工作单位的控制粒度;进程并发的含义;进程的同步机制;进程通信;死锁。

重点难点:处理器管理

3、 存储器管理

知识点:存储器管理的基本概念;连续存储空间存储管理的原理实现;非连续存储空间存储管理的原理及实现;虚拟存储空间的概念及实现。 重点难点:存储管理

4、 文件系统管理

知识点:文件及文件系统的概念;文件目录;文件的共享、保护及保密。 重点:文件的组织与存储 难点:文件操作的执行过程。

5、 设备管理

知识点:I/O操作与设备和概念;缓冲技术及PnP技术;中断处理及驱动程序。

重点:设备的分配和调度

难点:I/O控制方式及具有通道的I/O系统管理;虚拟设备、设备一致性、设备无关性的概念。

6、 作业管理

知识点:操作系统的结构模型;作业管理的概念;作业管理的功能;作业的状态,调度控制等问题;

重点:作业管理的功能;

难点:作业调度与控制。

7、 用户接口与操作环境

知识点:操作系统的用户接口的分类;命令接口,程序接口,环境接口的功能与实现; 重点难点:三种接口的功能。

8、操作系统的安全

知识点:操作系统安全性概念;安全机制;安全系统的设计; 重点:系统安全概念与机制; 难点:安全系统的设计。

(四) 使用教材与参考书目

1、 建议选用教材:刘乃琦,吴跃编著《计算机操作系统》 电子工业出版社。

2、 主要参考书:

数字逻辑电路范文第5篇

【关键词】数字电路;《组合逻辑电路设计》;教学设计

引言:我国古代教育思想家孔子说过这样一句话:“知之者不如好之者,好之者不如乐之者。”,这一句蕴含了丰富的哲理,与现阶段新课程改革教学理念吻合,体现了学生是学习过程中的主体,兴趣是学生最好的老师。

1.教材分析

《数字电子技术》则一门课程不管是理论性还是实践性都非常强,并且“组合逻辑电路”在整个教材知识中占据主要位置,肩负着“承前启后”的作用

《组合逻辑电路的设计》要在学生学过《数字电路基础》、《逻辑门电路》等知识的基础上进行,既可以检验以前的知识,又可以扩展后续知识。教学重点:介绍组合逻辑电路的设计方法和步骤,使学生能够正确设计组合逻辑电路。教学难点:引导学生设计经济实用的组合逻辑电路。

2.教学目标

知识与能力目标:使学生掌握组合逻辑电路的设计方法和步骤,提高学生应用所学知识的能力。 方法能力目标:培养学生认真学习、认真探索的精神; 激发学生的创新思维能力,举一反三。 社交能力目标:通过课堂师生交流和学生学生交流,培养学生与他人沟通、团结、合作的能力。

3.教学方法

教师的准备工作:熟悉教学大纲以及了解课程教学标准。对教材内容进行钻研、针对性设计教学过程、准备好课件、教学工具以及实验器材等等。为有效激发学生的学习兴趣,调动学生学习积极性,教师可以通过任务驱动教学的方法开展教学工作,结合学生个性特点,对教学任务进行有效的设计。帮助和引导学生对任务进行分析和探究,然后启发学生运用已经学习过的文化知识对实际的问题进行解决。 中间配合使用“触类旁通的类比法”“生动活泼的讨论法”“科学直观的仿真法”“形象立体的动画演示法”来达到我们的教学目标。

4.教学过程

教学过程共分为五个教学环节,共计两个课时。(“温故知新”、“任务驱动”、“探究新知”、“巩固提高”、“学以致用”)。

4.1温故知新

使用方法为教师提问,学生回答的互动形式,一共对三个知识点进行了复习:第一,经常使用的符号,表达形式,真值表以及功能;第二,逻辑代数运算的基本定律跟化简的有效方法,这两个知识点都是基础的知识,学生在对其进行有效学习以后才可以用门电路构建出一个带有特定功能的数字电路;第三,提出两个问题:问题一,什么组合逻辑电路的分析?问题二,组合逻辑电路的分析步骤一共有几步,分别是?最后使用一道题对整体步骤进行分析,让学生的印象更加深刻,达到给新知识铺垫的作用。

4.2任务驱动

此过程由教师帮助和引导学生思考分析,给出一个组合逻辑电路图,我们可以对它的逻辑功能进行有效的分析,给出一个逻辑性的命题,我们可以按照相关的要求对逻辑电路进行设计吗?入股哦设计一个三人表决器呢?学生按照课前的预习情况会得出答案,也就是组合逻辑电路的分析过程不一致,需要五步——相反倒叙的五步。其实这一次课的设计过程就是对上一次课程的逆分析过程,同时也是本次课程的主题。随后教师使用板书或者是幻灯片的方式将教学重点导出。任务启动以后,学生按照指示,分析思考,分组交流,教师给予有效指导。一段时间之后各个小组开始对各自的成果进行展示。通过这样一个“生生交流,师生交流”的过程,学生能够在其中更好的探究教学内容,巩固加深教学内容,加深了解和掌握。

4.3探究新知

有效引导学生设计出经济且实惠的组合逻辑电路。第一次设计是不是完美的?如果用“与非门”去设计会发生什么样的不同?两种方案设计出来的“三人表决器”逻辑电路哪一种会更好?原因是什么呢?学生们在经过一系列的计算之后很快就对第二种设计方案进行了展示。

教师引导学生对两种设计方案进行比较分析,发现这两种设计方案只使用了四个门电路。不一样的是:方案一里面的四个门,其中有三个是“与门”有一个是“或门”、方案二里面四个门都是“与非门”。在对比之后发现,门电路单一更好。通过层层设疑,有效激发了学生的学习积极性,课程重难点教学也得以有效开展。在具体的应用过程中,组合逻辑电路设计多用“与非门”实现,能够控制成本,避免浪费人力,物力。

当任务1结束以后,可以接着布置第二个任务,把任务1的只有1个输出量的设计任务,上升到3个,让工作量加大,提升难度。其目的就是鼓励学生,拓展思路,让原本枯燥乏味的教学得以“由浅入深”、“化难为易”最后教师通过板书或者是幻灯片把教学重难点有效进行提炼,对所学知识进行巩固,加深印象。

4.4巩固提高

在学生一起完成两个任务以后,教师又给学生设置了两个加强任务,要求学生自主独立完成,以此自行消化,吸收,巩固掌握本次课的知识点的目的。

4.5学以致用

为了更好地理论联系实际,设置实验任务,要求学生绘制任务1中“与非”门设计的3人投票器逻辑电路图,并安装测试逻辑功能。为了能够让学生顺利的完成实验任务,教师应准备相关的实验设备让学生认识,并对其使用方法进行详细的讲解。 在讲解完实验设备的原理、用途、安全、节能、环保等注意事项后,让学生自己制作电路和测试功能,完成实验任务。 这个环节结合学生未来的实际工作,让学生学以致用,体验成功,增强自信心。

结束语:在整个教育教学过程之中,一定要以学生为主体。教师要帮助和引导学生不断参与活动,将自身主导作用充分发挥出来。以此方式有效提升学生的求知欲望和学习积极性,让学生在教学过程之中感受成功带来的喜悦之情,进而提升自身各方面能力。

参考文献:

[1]陈丹,戴仁俊.“组合逻辑电路的设计与实现”微课教学设计和反思[J].科技视界,2021(20):54-57.DOI:10.19694/j.cnki.issn2095-2457.2021.20.24.

[2]李月乔,樊冰.基于項目教学法的组合逻辑模块分层次对比学习探究——以“8位数码管动态显示电路的设计”项目为例[J].工业和信息化教育,2021(02):76-79.

数字逻辑电路范文第6篇

《电子技术课程设计报告》

设计题目:数字钟的设计与制作

专业班级:13级《物联网工程》2班 姓名:白雪 王贞 张莹 学号:068 108 131 指导老师:刘烨

时间:2015年5月15日~ 2015年 5 月30日 地点:四教4414实验室

海南大学儋州校区应用科技学院

摘要:

数字时钟是一种用数字电路技术实现秒﹑分﹑时的计时装置,与传统的机械钟相比,它具有更高的准确性和直观性,且无机械装置,具有更长的使用寿命,因而得到了广泛的应用。小到人们的日常生活中的电子手表,大到车站﹑机场等公共场所的大型数显电子钟。数字时钟从原理上讲是一种典型的数字电路,其中包括了组合逻辑电路和时序电路。

本课程设计要用通过简单的逻辑芯片实现数字时钟。要点在于用555芯片连接输出为一秒的多谐振荡器用于时钟的秒脉冲,用14位二进制计数器CC4060芯片、7双BCD同步加计数器CD4518芯片、十进制加计数器/7段译码器CD4033芯片等连接成60和12进制的计数器,再通过七段数码管显示,构成了简单数字时钟。 关键词:数字时钟;555芯片;计数器;数码管

2

海南大学儋州校区应用科技学院

1设计目的 ................................................................................................................................ 4 1.1设计指标 ......................................................................................................................... 4 2课程设计任务及要求 ............................................................................................................ 4 2.1 设计任务 ........................................................................................................................ 4 2.2 设计要求 ........................................................................................................................ 4 3系统设计 ................................................................................................................................ 4 3.1 设计思路 ........................................................................................................................ 4 3.2 系统设计 ........................................................................................................................ 5 3.2.1 原理图及说明 ....................................................................................................... 5 3.2.2 具体设计 ................................................................................................................. 6

3.2.2.1.小时计时电路 ............................................................................................... 6 3.2.2.2.分钟计时电路 ............................................................................................... 6 3.2.2.3.秒钟计时电路 ............................................................................................... 6 3.2.2.4.手动时间校准电路的设计 ........................................................................... 6 3.2.2.5.光敏电阻的设计 ........................................................................................... 6

4 主要元器件的介绍 ............................................................................................................... 7 4.1 40161------4位二进制同步计数器(有预置端,异步清除) ..................................... 7 4.2 CD40106 .......................................................................................................................... 7 4.3 CD4009 ............................................................................................................................ 8 5 电路板的安装与测试 ........................................................................................................... 8

3

海南大学儋州校区应用科技学院

1设计目的

数字电子钟是一种用数字显示秒﹑分﹑时的记时装置,与传统的机械钟相比,他具有走时准确﹑显示直观﹑无机械传动装置等优点,因而得到了广泛的应用:小到人们的日常生活中的电子手表,大到车站﹑机场等公共场所的大型数显电子钟。

我们此次设计数字钟就是为了了解数字钟的原理,从而学会制作数字钟。而且通过数字钟的制作进一步的了解各种在制作中用到的中小规模集成电路的作用及实用方法。且由于数字钟包括组合逻辑电路和时叙电路。通过它可以进一步学习与掌握各种组合逻辑电路与时序电路的原理与使用方法。

1.1设计指标

1. 时间以12小时为一个周期; 2. 显示时、分、秒; 3. 具有校时功能,可以分别对时及分进行单独校时,使其校正到标准时间;

2课程设计任务及要求

2.1 设计任务

1、设计一个有“时”,“分”,“秒”(11小时59分59秒)显示且有校时功能的数字时钟钟;

2、 用中小规模集成电路组成数字时钟。

2.2 设计要求

1.用555定时器设计一个秒钟脉冲发生器,输入1HZ的时钟;(对已有1kHz频率时钟脉冲进行分频);

2.能显示时、分、秒,12小时制; 3. 设计晶体震荡电路来输入时钟脉冲;

4.用双BCD同步加计数器CD4518芯片设计一个分秒钟计数器,即六十进制计数器.;

5.用十进制加计数器/7段译码器CD4033芯片设计一个12小时计数器, 6. 译码显示电路显示时间。

3系统设计

3.1 设计思路

数字电子钟由信号发生器、“时、分、秒”计数器、LED数码管、校时电路、整点报时电路等组成。工作原理为时钟源用以产生稳定的脉冲信号,作为数字种的时间基准,要求震荡频率为1HZ,为标准秒脉冲。将标准秒脉冲信号送入“秒计数器”,该计数器采用60进制计数器,每累计60秒发出一个“分脉冲”信号,该信号将作为“分计数器”的时钟脉冲。“分计数器”也采用60进制计数器,每 累计60分,发出一个“时脉冲”信号,该信号将被送到“时计数器”。“时计数器”采用12进制计数器,可以实现12小时的累计。LED数码管将“时、分、秒”计数器的输出状态显示。校时电路是来对“时、分、秒”显示数字进行校对调整。

4

海南大学儋州校区应用科技学院

本设计使用芯片数最少、计时准确、动态显示的节电工作方式(耗电量仅为静态显示模式的1.8%)、调试方便、时间校准方便。电路中的振荡器XT为目前多数石英晶体电子表中使用的频率为215=32768HZ的石英晶体,经IC(2CC4060)组成的14级2分频和IC3A(CD4518)组成的一级2分频后可得到1HZ的“秒”脉冲信号。用6个40161分别控制6个数码管,用逻辑门电路选择各个数码管的最大数字,比如说输出9, 就要对应的输入二进制数1001,输出3对应0011,1对应 0001。逻辑门电路选择好最大数,就接入下一个40161的 CLK 端,来进位。MR端要接入与非门和40106之间,读取最大数。我们设计的是实现0~9,0~5,0~1,0~2的进位方式。从秒开始,0~59,分0~59,时0~11. 3.2 系统设计

3.2.1 原理图及说明

时LED数码管分LED 数码管秒LED数码管时计数(十二进制)分计数(六十进制)秒计数(六十进制)时校准分校准晶体振荡器分频器 (1)电路原理框图

(2)电路原理图

5

海南大学儋州校区应用科技学院

用555电路构成的1KHz多谐振荡器,调节电阻R3可以改变输出信号频率。74LS160是二,五,十进制同步加法器,用三片74LS90构成三级十分频器,将1KHz矩形波分频得到1Hz基准秒计时信号。由于74LS160是十进制计数器,分别将个位接成十进制计数器,十位接成六进制计数器,分别将个位的RCO输出端接十位的9脚端,就构成60进制计数器,用两个相同的60进制计数器分别做作为秒,分计时,并在个位和十位输出端接上数码显示管显示小时计数器直接采用整体反馈清零法构成24进制计数器。 工作原理:振荡电路产生的1KHZ脉冲信号经三级十分频电路分频后产生的1HZ脉冲信号输入74LS90N连成的60进制秒计数器,再由秒计数器每60秒进位输出给60进制分钟计数器,分钟计数器满60后产生进位信号输入给24进制小时计数器,从而实现12小时制电子钟的功能。

3.2.2 具体设计

3.2.2.1.小时计时电路

小时计时电路由两块4033B和4081芯片7段译码器组成12进制计数电路。该电路译码器能识别数字00到11的计数,当接收到从“分”传来信号到芯片4033的第1个管脚时,使得在小时的计数模块进行加1,每接收到一次信号,即进行一次计数,计一次为一小时,同时将信号反馈回“分”,使得将计数清零。即可可以将小时从“00”到“11”后,在继续计时时,计数器计数将会被置回“00”。使整个计数器在小时的计数模块成为从“00”到“11”到清零循环回“00”到“11”这样的12进制的12个稳定状态的计时方法。 3.2.2.2.分钟计时电路

与小时计时模块相比,分钟计时模块相对简单些。它的电路原理是由于两块4033B芯片组成的60进制的分钟计数方式,该译码器电路能识别信号59,整个计数计时方式是从“00”到“01”“02”.....“59”在到“00”的共60个稳定状态的自动连续循环模式。 3.2.2.3.秒钟计时电路

秒钟的计数又有些相同与不同。它同样是由4033B两块芯片进行构成60进制计数。该译码器识别信号至59,然后清零循环计数。计数方式与分钟计数方式一样。但除了4033B芯片外,外加了4060和4518两块芯片。外加了两块芯片使得在秒钟计数模块有了自动的计数方法。而不是通过外来校准不停的进行调整计数。

3.2.2.4.手动时间校准电路的设计

S1和S2分别为“小时”与“分”的手动校准电路。S1按动一次,在小时计时部位计数加1,S2按动一次,在分钟计时计数数码管显示上显示加1,。滤波电路C

3、R10和C

4、R13分别用来吸收S1和S2的动作产生的电压抖动。二极管D

1、D2分别为“小时”与“分”校准电路与相邻下一级计数器“清零”端R之间的单向隔离文件。R

11、R12为手动校准电路的限流范围。 3.2.2.5.光敏电阻的设计

光敏电阻R1~R6分别为数码管DS1~DS6夜间工作在节电模式时的亮度自动控制电路。光敏电阻可选用MG41-22或MG45-

12、或560

6、6106型(亮电阻≤2KΩ,暗电阻≥900KΩ)。每只数码管的公共端第3(8)脚通过一光敏电阻串联晶体开关管9013接地。当夜晚室内光线较暗时,数码管自动降低亮度。数码管DS1~DS6采用超高亮度的数码管5011型,这种LED数码管耗电为普通数码管的十分之一,每个段码的驱动电流仅为1mA,就可以发出普通数码管20mA

6

海南大学儋州校区应用科技学院

工作电流时相同的亮度。当其工作电流达20mA时,发出光亮足以保证在室外阳光下正常显示。该控制电路可使数码管显示的供电电流降低到原来的1/30,即为10~15 mA的水平。开关管Q1~Q3选用9013(40V、0.5A、0.625W、低频)可满足控制两个数码管阴极电流通断的要求。本设计还充分利用芯片CD4033的“零”数字消隐功能,即当十位上海数字为零时,该数码管不亮。例如,当时间为9时8分5秒,不是显示“09”时“08”分“05”秒,而是显示“9”时“8”分“5”秒,该设计方案可使数码管显示的供电电流降低到原来的1.8%,即为5~9 mA的水平,可大大降低电源的能耗。

4 主要元器件的介绍

4.1 40161------4位二进制同步计数器(有预置端,异步清除)

40161是4位可编程计数器,复位采用异步方式,当CLEAR为低电平时,使四个输出端均置为低电平,而与CLOCK、/LOAD或PE、TE输入的状态无关,/LOAD为低电平时,计数器无效,使输出端在下一时钟脉冲与设置的数据一致,并与PE、TE输入端的状态无关。

N位同步级联计数器可由超前进位电路实现,不需要外加控制,此功能由两个计数控制输入端和进位输出端完成。PE、TE输入端均为高电平时,计数有效,当计数超过“15”时,进位输出端CARRY OUT(CO)即产生一正向输出脉冲,其脉冲宽度约等于Q1输出正向宽度,此正向溢出进位脉冲可使下一级联电路有效,时钟无论为高电平或低电平,均可实现PE或TE输出的逻辑转换。

4.2 CD40106 CD40106由六个施密特触发器电路组成。每个电路均为在两输入端具有施密特触发器功能的反相器。触发器在信号的上升和下降沿的不同点开、关。上升电压(V T+)和下降电压(V T-)之差定义为滞后电压。它的2 4 6 8 10 12引脚是数据输出端,1 3 5 9 11 13是数据输入端,14是电源正,7接地。

CD4016引脚图

7

海南大学儋州校区应用科技学院

4.3 CD4009 CD4009是十六进制的CMOS缓冲器/变换器

5 电路板的安装与测试

为了方便检测,电路有6位数码管安装在CD4033的上方,分别显示出时“00~11”分“00~59”秒“00~59”的时钟显示。根据电路的设计特点,在安装过程中,基于测试同时进行。在安装测试顺序是①1HZ脉冲信号的产生电路,运用逻辑笔测试芯片IC34的Q0端的“1HZ”的脉冲输出信号;②“秒钟”计数/译码/显示电路,显示0秒钟~59秒钟,运用逻辑笔测试芯片IC5A第3期的“满60秒进一”的进位脉冲输出信号;③“分”钟计数/译码/显示电路,显示0分钟~59分钟,运用逻辑笔测试芯片IC58第四脚的“满60进一”的进位脉冲输出信号;④“小时”计数/译码/显示电路,显示0小时~12小时,运用逻辑笔测试芯片IC5C第10脚的“清零”脉冲输出信号;⑤分别按动开关S

1、S2,测试时间校准电路的功能及可靠性;⑥用厚纸片遮蔽敏光电阻的上方,观测数码管亮度显示接受控制前后的响应情况。6个单元电路组装合格后,电路可以显示12小时内的任一时间。时间校准电路组装完成后,可以校准当前时间,并验证一昼夜

8

海南大学儋州校区应用科技学院

24小时的计时误差是否在一定范围内;然后在一定电源内测量整机最大工作电流是否也在一定范围之间。

9

海南大学儋州校区应用科技学院

10

海南大学儋州校区应用科技学院

11

海南大学儋州校区应用科技学院

上一篇:个人房屋租赁合同书范文下一篇:吕氏春秋下贤范文