数字电路实验报告

2024-06-18

数字电路实验报告(精选9篇)

数字电路实验报告 第1篇

组合逻辑电路的设计与调试

一、实验目的1、掌握用门电路设计组合逻辑电路的方法。

2、掌握组合逻辑电路的调试方法。

二、实验器材

数字电路实验箱一台、74LS00若干

三、实验内容

1、用与非门实现散人多数表决器电路

(1)真值表

(2)表达式化简及变形

(3)逻辑图

2、用与非门实现YAB

(1)真值表

(2)表达式化简及变形

(3)逻辑图

译码器应用电路的设计与测试

一、实验目的1、熟悉集成译码器的性能和使用方法

2、学会使用二进制译码器实现组合逻辑电路的方法

二、实验器材

数字电路实验箱一台、74LS138一片、74LS20一片

三、实验内容

1、用74LS138及74LS20实现三人多数表决器电路

(1)真值表

(2)表达式转换

(3)逻辑图

2、用74LS138及74LS20实现YAB

(1)表达式转换

(2)逻辑图

数据选择器的设计与调试

一、实验目的1、熟悉数据选择器的性能及使用方法

2、学会使用数据选择器进行逻辑设计的方法

二、实验器材

数字电路实验箱一台、74LS151一片

三、实验内容

1、用74LS151实现三人多数表决器

(1)真值表

(2)比较卡诺图求出Ai及Di

(3)逻辑图

2、用74LS151实现YABBCAC

(1)比较卡诺图求出Ai及Di

(2)逻辑图

N进制计数器的设计与测试

一、实验目的1、掌握集成技术器的测试方法

2、学会利用集成技术器构成N进制计数器

二、实验器材

数字电路实验箱一台、74LS161一片、74LS20一片

三、实验内容

1、用74LS161设计七进制计数器。

方法一:清零(0-6)

(1)逻辑图

(2)状态转换图

方法二:置数(1-7)

(1)逻辑图

(2)状态转换图

方法三:置数(9-15)(CO做反馈)

(1)逻辑图

(2)状态转换图

数字电路实验报告 第2篇

引言

本课程是面向智能车制作的课程,但是主要讲述的是一些关于智能车制作的一些最基本的基础知识。比如说一些单片机的知识和一些相应数字电路的知识。故我在这里利用一些所学的知识来设计一个数字时钟。该电路系统由秒信号发生器、“时、分、秒”计数器、显示器组成。秒信号产生器是整个系统的时基信号,它直接决定计时系统的精度,这里用多谐振荡器来实现。将标准秒信号送入“秒计数器”,“秒计数器”采用60进制计数器,每累计60秒发出一个“分脉冲”信号,该信号将作为“分计数器”的时钟脉冲。“分计数器”也采用60进制计数器,每累计60分钟,发出一个“时脉冲”信号,该信号将被送到“时计数器”。“时计数器”采用24进制计时器,可实现对一天24小时的累计。译码显示电路将“时”、“分”、“秒”计数器的输出状态送到七段显示译码器进行译码,通过六个七段数码管显示出来。

本作品的主要设计目的是熟练使用555定时器构成多谐振荡器的方法,掌握使用74LS160构成60进制计数器的方法以及使用74LS160构成24进制计数器的方法,理解在实际的设计电路中电压电流关系对整个电路功能的实现所具有的重要性。

关键词:数字电子钟;555定时器;60进制计数器;24进制计数器;共阳极七段数码管;74LS47译码器;

第一章:设计方案的选择

数字电子技术的复杂性和灵活性决定了数字电子钟的设计方案有多种,以下是本设计的方案选择。

1、信号源的选择

多谐振荡器,信号发生器,脉冲芯片以及石英晶体振荡器等方式都可以作为脉冲信号源,但是石英晶振产生的频率较高,需要用到分频器来对它进行分频处理,故在此我选择的是用555定时器制作的多谐振荡器,主要考虑的是它的易于制作和很好的稳定性。

2、计数器的选择

时分秒计数器的选择在74系列里同样有多种,74LS160和74LS161,74HC161,74LS191等等也都可以,考虑到其简单易用,在此我选择的是74LS160。

3、译码器的选择

译码器的选择就只有两大类,一类是驱动共阳极的数码管,一类是驱动共阴极的数码管。在74系列里也有好几种,在这里我选用74LS47来驱动共阳极数码管。

4、元器件清单

74LS160(十进制计数器)——6个,74LS47(译码器)——6个;74LS00(2输入与非门)——3个;BCD数码管——6个;NE555芯片一个,电阻,电容,滑动变阻器。

第二章、设计原理描述

1、数字计时器的设计思想

要想构成数字钟,首先应选择一个脉冲源——能自动地产生稳定的标准时间脉冲信号。而脉冲源产生的脉冲信号地频率较高,因此,需要进行分频,使得高频脉冲信号变成适合于计时的低频脉冲信号,即“秒脉冲信号”(频率为1Hz)。经过分频器输出的秒脉冲信号到计数器中进行计数。由于计时的规律是:60秒=1分,60分=1小时,24小时=1天,就需要分别设计60进制,24进制计数器,并发出驱动信号。各计数器输出信号经译码器、驱动器到数字显示器,使“时”、“分”、“秒”得以数字显示出来。我设计的数字时钟就是用来计时的,厄并没有增加那个什么其它的报时、校对的功能。

2、设计框图:

电子钟在逻辑功能上是有秒脉冲发生器、秒计数器、分计数器、时计数器、译码器、显示器等组成。其原理框图如下所示:

秒脉冲计数器——>>秒计数器——>>分计数器——>>时计数器——>>译码器——>>显示器

3、时钟信号的产生:

用NE555芯片和两个电阻以及两个电容组成一个时钟振荡电路,用来产生时钟信号的。电阻R1、R2和电容C1构成定时电路。定时电容C1上的电压UC作为高触发端TH(6脚)和低触发端TL(2脚)的外触发电压。放电端D(7脚)接在R1和R2之间。电压控制端K(5脚)不外接控制电压而接入高频干扰旁路电容C2(0.01uF)。直接复位端R(4脚)接高电平,使NE555处于非复位状态,3脚用于输出时钟信号。

由555定时器组成的多谐振荡器如图(C)所示,其中R1、R2和电容C为外接元件。其工作波如图(D)所示。

图2-3 555定时器组成的多谐振荡器及其工作波形图 设电容的初始电压Uc=0,t=0时接通电源,由于电容电压不能突变,所以高、低触发端VTH=VTL=0<VCC,比较器A1输出为高电平,A2输出为低电平,即RD1,SD0(1表示高电位,0表示低电位),RS触发器置1,定时器输出u01此时Q0,定时器内部放电三极管截止,电源Vcc经R1,R2向电容C充电,uc逐渐升高。当uc上升

___13__1到Vcc时,A2输出由0翻转为1,这时RDSD1,RS触发顺保持状3态不变。所以0

_2tt1时刻,uc上升到Vcc,比较器A1的输出由1变为0,这时RD0,3SD1,RS触发器复0,定时器输出u00。

t1tt2期间,Q1,放电三极管T导通,电容C通过R2放电。uc__按指数规律下降,当ucVcc时比较器A1输出由0变为1,R-S触发器的RDSD1,Q的状态不变,u0的状态仍为低电平。

tt2时刻,uc下降到__23_1Vcc,比较器A2输出由1变为0,R---S触3发器的RD1,SD0,触发器处于1,定时器输出u01。此时电源再次向电容C放电,重复上述过程。

通过上述分析可知,电容充电时,定时器输出u01,电容放电时,u00,电容不断地进行充、放电,输出端便获得矩形波。多谐振荡_器无外部信号输入,却能输出矩形波,其实质是将直流形式的电能变为矩形波形式的电能。

多谐振荡器的放电时间常数分别为

tPH≈0.7×(R1+R2)×C1 tPL≈0.7×R2×C1

振荡周期T和振荡频率f分别为 T=tPH+tPL≈0.7×(R1+2R2)×C1

f=1/T≈1/[0.7×(R1+2R2)×C1] 根据以上两个式子就可以根据所需要的频率来确定电阻和电容的参数,但是为了频率可调一般会在电路4和7中间接入一个滑动变阻器。

4、用两个74LS160组成一个60进制的计数器原理: 74LS160本来是十进制的计数器,那么如何用两个十进制的计数器使它成为一个60进制的计数器呢?不过仅仅用这两个芯片是不可能的,还需要借助一个叫做74LS00的与非门芯片。

74LS160的工作要满足的条件有两个:它的MR,PE,CEP,CET这四个端口要为高电平才可以为计数状态;另一个条件就是CP端要有上升沿信号的输入,才会进入计数的状态。当MR为低电平的时候,无论74LS160的状态如何,都会把数据进行清零。那么在了解了这三个关于74LS160的基本的知识之后,那么就可以开始设计60进制的计数器了。原理图如下:

首先第一个160芯片的CP端接入到信号发生的那个端口上,其它的PE,CER,CET,MR都接到高电平上。在160的四个输出端,把四个输出端按照高低位的顺序接入到74LS47的输入端上。再把第一个芯片的TC(进位输出端)接到第二个芯片的CP端。接到第二个芯片的CP端是为了产生时钟计数信号(也即秒钟的个位计数信号)。第二个芯片的接法也是它的PE,CER,CET都接到高电平上,在160的四个输出端上,一方面把13和12两端的线接到第一个74LS00的输入端,另一方面把四个输出端按照高低位的顺序接入到74LS47的输入端上。再把74LS00的输出端接到第二个芯片的MR端和第三个芯片的CP端。接到第二个芯片的MR端是为了对第二个芯片的计数进行清零处理;而接到第三个芯片的CP端是为了产生时钟计数信号(也即分钟的个位计数信号)。

那么下面解释一下为什么是这么接线的:160是一个四位的计数器,从低位到高位的依次顺序是(14、13、12、11)。当74LS00的输入信号全是高电平时,其输出信号为低电平(但两个输入信号不全为高电平的时候,其输出端一直为高电平),把第一个芯片的TC端接到第二个芯片的CP端,是因为160具有自动进位的功能,每当160从9变到0的时候,就会在TC端产生一个高电平脉冲信号,从而产生了一个上升沿,使得第二个芯片开始计数。对于第二个芯片我们只要其当计数到6就可以,但是又不能出现6,所以第二个芯片的输出端的接法是把13、12两个端口接到74LS00的输入端,但第二个芯片从5变到6时(CP端会受到第一个芯片的影响,从而触发第二个芯片从5变到6),此时端口输出可表示为(0110),从而和第一个芯片引发类似的数据清零处理。

在这个数字电路中是需要两个60进制的计数器的,但是分钟的计数器和秒钟是差不多的。

5、用两个74LS160组成24进制计数器的原理: 电路图如下图所示:

组成24进制的计数器的方法用点不同于60进制计数器的连接方法。因为时钟只能从0计时到24,但是有因为时钟的个位数不是一个循环的计数,第二次计数时只要计到4变5的时候就要清零,而时钟的十位数只要计到2变3的时候就要清零了,因此连接方法也就不同于之前的。

第五个芯片的CP端也是接到第二个74LS00的输出端口上,它的PE,CER,CET都接到高电平上。在160的四个输出端上,一方面把12端(0010)的线接到第三个74LS00的输入端,另一方面把四个输出端按照高低位的顺序接入到74LS47的输入端上。而对于第六个芯片的输出端中的13端(0100)接到第三个74LS00的输入端,对于74LS00的输出端分别接到第五个和第六个的MR端,这样就可以实现时钟的清零了,不会出现24了。因为当第五个芯片从3变成4的一瞬间,MR也在差不多同时进行清零了。对于第五个的计数是一方面利用160自身的从9变0的功能。

6、显示电路:

在设计我用74LS47译码器来驱动共阳极的一位数码管,电路图如

第三章:数字电路的仿真设计 在multisim中画完电路之后,在进行仿真,以用来检验电路的正确性。完整的电路图如下,在仿真的时候没有接入ne555电路,而是用的函数发生器来充当信号源。但是效果是一样的。

仿真的结果分析:经测试,电路可以实现设计要求,可以实现数字钟的基本功能,秒脉冲信号及60、24进制计数器均可正常工作。所以,基于仿真结果可以认定,此次数字钟的设计是成功的。

第四章:心得体会

通过这次对数字电子钟的课程设计,把课本上学到的知识与实践相结合。从中对学到的知识有了更进一步的理解,而且更进一步的熟悉了芯片的结构及掌握了各芯片的工作原理和其具体的使用方法。也锻炼了自己独立思考问题的能力和通过查看相关资料来解决问题的习惯。厄,在一开始的时候我查阅了智能车队的里上传的关于74系列的资料,在认真阅读完相应的芯片的资料后,发现不是很懂。只知道74LS160是十进制的计数器,但是怎样才能组成60进制和24进制的计数器呢,不得已只能上网查阅资料,但是一查却发现许多是基于单片机的电子钟设计,而不是纯数字电路,后来找到一些资料和图,却发现不是很好理解。最后按照一个查到的关于60进制的计数器,在软件上连了,却发现没用第二个数码管根本不会计数,也即没有上升沿的输入。最后看了半天和百度,才发现那个电路图是有问题的了。74LS160虽然是十进制的计数器,但是它便不会计到10,而是在9以后就变为0了,所以把160的13和11两端的线接到与非门上,根本就不会输出低电平,一直保持的高电平输出。最后在研究了一下160的几个端口的功能之后,发现我完全可以把第一个160的TC端接到第二个芯片的CP端以用来产生时钟信号。按照这种思路来设计电路的话,就可以只要用到三个74LS00与非门了,比一些用五个的就要更简便一些了。从这个查阅资料的过程中,我发现了有问题可以找百度,但是却不能依赖于百度,有时看书才是王道那。

其实设计本身并不是有很重要的意义,而是在于我们对待问题时的态度和处理事情的能力。各个芯片能够完成什么样的功能,使用芯片时应该注意那些要点。同一个电路可以用那些芯片实现,各个芯片实现同一个功能的区别。

另外,我还渐渐熟悉了multisim这个仿真软件的各个功能,让我体会到了其中的乐趣,而在用这个软件的时候,还要学会如何去接线和安排这些元器件,用实现电路的简洁和直观,尽量要少的线交叉在一起。熟练掌握了Multisim仿真软件的使用,最重要的是提高了自己的动手实践能力,话说熟练的掌握了这个软件的一些基本的用法,比如说找元器件那和设置参数那,那么以后我们也就可以按照自己的思路去设计一些电路,并进行仿真,以证实自己的想法并且进行改进。具体来说:

一、学会了如何使用Multisim 10软件。

二、在实践中了解了多种元件的功能和参数。

三、从仿真实践中不仅学会了如何去分析问题和解决问题,也体会到了成功的喜悦和失败的忧郁。

四、提高了自己的读图和分析图还有设计图的能力。

五、掌握了设计不同进制的计数器的方法。

《数字电路实验》教学研究 第3篇

随着数字电子技术的发展, 数字电子技术在现代社会的应用日益广泛, 数字电路实验作为理工科多数电类专业开设的一门重要的基础课程, 担负着巩固学生理论知识, 培养学生动手操作能力、知识应用能力及创新能力的重任。而了解和掌握数字电路基本的电路分析、设计、开发, 是数字电子技术应用技能的一种具体体现, 是电子技术应用技能的实践要求。

数字电路实验的教学中, 目前许多院校还在沿用数字电路实验教学的传统实验模式、方法和手段, 很难充分激发学生的兴趣, 难以适应现代社会发展的要求。因此, 数字电路实验教学应根据电子科学技术发展的趋势及其社会要求, 不断地变革才能适应社会对电子技术人才越来越高的要求。

2 数字电路实验课程改革思路

参考国内部分院校和国外部分数字电路实验教材, 结合我校的实际情况, 将数字电路实验内容分为:基础性实验、设计性实验及综合课程设计性实验三部分内容[2]。

2.1 加强基础实验, 注重学生的自主学习和基本实践技能的培养

在基础实验中, 我们精简基础实验的数量, 强调学生必须完成的4个基本实验, 对于这4个基本实验, 每个实验又包含必做内容和选做内容, 分出一定的难度, 既有多数学生都能完成的基本设计和制作, 使学生在实验过程中掌握基本的知识并获得成就感;又具有挑战性的项目, 以激发学生探索研究的兴趣。对于实验的方法不做过多的限制, 给学生更多的思考空间, 调动学生的学习兴趣和自主思考能力。其次通过开放实验室的方式要求学生再自行完成2~3个基本实验, 由教师检查其完成情况。这种设置方式不仅强调了学生自主学习能力, 而且强化了学生的基本实验技能。

2.2 加强综合设计实验

实验教学过程中, 在完成基础性实验的基础上, 增加了综合设计类实验, 该类实验在选择上主要考虑了通过学习该门课程来解决现实生活中的问题。主要安排了数字密码锁设计、交通灯控制电路设计等[1]。通过实际操作, 学生将理论知识与实践相结合, 加深对知识点的理解, 并通过解决实际社会生活中的问题提高学习积极性。

2.3 综合系统课程设计

系统课程设计类实验充分发挥学生的主观能动性, 该类实验由学生自己查找资料, 制定设计方案, 用计算机仿真软件Mu ltisim进行电子虚拟实验仿真设计, 实现将传统的实验方法与EDA相结合, 提高学生的实验技能。设置的实验内容为利用EDA技术和相关逻辑器件, 设计和实现小型数字系统 (如出租车计价器、简易数字频率计、篮球比赛计时器、节日彩灯控制器、交通灯控制电路等[2]) 实验。

3 实验教学改革的方法

3.1 实验教材的编写

结合我校学生和实验室的实际情况, 自行编写了《数字电路实验》课程实验大纲和实验指导书, 内容体现了课程重点, 难点。实验内容经过认真、仔细的考虑, 并根据科技的发展及时更新内容。

3.2 实验教学方法的更新

实验课教学不再是单纯的老师讲解、学生照电路图连线;基础实验主要进行基本技能训练, 首先要求学生课前作好预习, 对实验目的、原理和要求有一个初步印象, 并写出预习报告;其次贯彻“精讲多练”的原则, 课前讲解以实验要点、难点以及注意事项为主, 让学生拟定实验方案, 教师给予检查和适当的指导;之后学生动手实验。实验中学生应考虑:电路的设计思路是什么?有什么实际应用?如何进行改进?通过实验来寻求答案, 强调自主学习和主动思考相结合来解决问题, 目的是激发学生的学习兴趣。

设计性实验和综合系统设计课程这个层次的实验是将新技术和新型电路设计的方法充实到实验教学中去, 选择EDA和可编程技术完成实验, 根据给定的题目及达到的技术指标, 学生结合所学知识及实验室提供的条件, 查阅相关资料, 自行设计实验方案, 通过计算机软件的编程和仿真, 完成实验任务。

3.3 开放实验室

开放实验室, 为学生课外科技活动提供实验条件, 拓展了实验的时间和空间, 提高实验室和设备的使用效率。

4 总结

本文探讨了改革传统实验教学的模式, 给出了具体的改革措施, 并进行了初步的实践, 取得了一定的实验效果。由于实验教学改革是个长期的过程, 需要实验指导教师不断总结和学习, 进一步提高实验教学质量和教学效果。

摘要:本文针对数字电路实验的教学情况, 提出实验教学改革的思路和方法, 确立了实验教学目标, 建立以基础实验、综合实验和课程综合设计培养为核心的层次实验教学模式, 在我校取得了良好的教学效果。

关键词:数字电路实验,实验教学,实验设计

参考文献

[1]沈小丰.电子线路实验—数字电路实验[M].北京:清华大学出版社.2007.10

数字电路实验教学改革方案探讨 第4篇

摘 要:文章主要探讨了学院在数字电路实验课程教学中存在的一些问题,然后从实验内容、教学方法及手段等方面提出了改进意见,以此提高教师的教学水平和学生的综合能力水平。

关键词:数字电路;实验教学;教学改革

数字电路实验是数字电子技术课程的重要实践环节,进一步培养学生工程能力的一门专业技术基础课,是一门实践性很强的课程。学生通过验证、自行设计电路,安装,调试电路,排除电路故障,初步掌握数字电子技术的原理,并能根据需要合理选用所需集成电路,设计并制作出实际电路,培养学生的工程实践能力,提高动手操作能力和创新能力,为后续专业学习打下坚实的基础。但目前学院的数字电子技术实验教学从教学内容的设置到教学方法的运用都存在一些影响学生基本操作技能形成的不利因素,必要进行改革。

1 实验内容的改革

数字电路实验是一门理论性和实践性都很强的课程,但是目前学院所使用的教材多为验证性实验,而且偏重理论和实践性的内容较少,实验内容相对简单。内容老化,手段单一,造成大部分学生动手能力得不到加强,不利于培养学生的综合分析设计的能力,不能适应当今社会对应用性、创新型人才的要求。

根据学生知识、能力培养的总体要求,合理编排实验内容。在实验内容的选定上,既有一定量的验证型实验,也有适量的设计型、综合型实验。两者缺一不可,各自起作用,比如:集成逻辑门电路的功能测试。属于验证型实验,该实验主要是帮助学生认识基本的逻辑芯片,验证基本逻辑芯片的功能,与此同时,在单纯依靠实验台时,可以适当结合计算机仿真软件,增加适量仿真型实验内容。在实验的操作过程中,把每个实验都分成两部分,第一部分是基础实验,通过实验是学生进一步巩固和加深对相关课程基本理论的理解,巩固基本概念、提高综合运用所学知识的能力;第二部分是延伸实验,目的是进一步提高学生对教学系统的理解、培养学生独立分析问题和解决问题的能力、综合设计及创新能力,培养学生进行科学研究的独立工作能力,取得工程设计与组装调试的实践经验。

2 实验教学方法的改革

实验过程机械化。实验过程一般是在实验箱上,学生按照老师的要求进行实验。每个实验分两节课。从实验内容、所用仪器,到实验步骤的安排,学生都没有选择的机会,处于一种相对被动的地位。因此,实验给学生的印象较肤浅。

实验设备比较陈旧、易损。 学院对实验室的资金投入不足,仪器质量不佳、易损。在实验过程中,元器件老化、损坏不可避免,但需要及时更新。检查芯片的好坏和线路相当复杂,每周的实验课时又多,严重影响教师和学生的学习。鉴于上述等等原因,笔者提出一些改进措施如下:

2.1 增加实验学时

基础实验、综合设计性实验、仿真实验学时分别按 3∶4∶3分配 ,以全面提高学生理论联系实际的能力、知识综合能力、创新设计能力。实行实验单独设课与实验成绩单独计算 ,极大地提高了实验环节在整个教学中的地位,改变过去实验教学只是从属于理论教学,实验学时不足,综合性实验偏少,创新性实验缺乏,实验质量的好坏对成绩影响不大的弊端。

2.2 改革考核方式

为了做到对学生的全面评价,实验成绩应标准化、定量化。实验课程的最后一次实验课程内容为实验考试,实验考试内容以考查学生完成综合性和设计性实验的实际能力为主旨,按A、B、C、D、E 评定成绩,该成绩占总成绩40 %。其中,实验报告占总成绩20%,平时的实验表现,特别是综合设计性实验的实验操作及完成情况占总成绩40% ,作为判断学生能力和全面发展的一个重要依据,这样提高广大学生的实验热情,变被动为主动。

3 改革实验教学手段

3.1 实施开放实验室

由于以往实验室横向定时开放,学生不能长时间的在实验室进行实验设计。横向定时开放指的是实验室只是根据课程表的安排,在规定的上课时间内开放。但是综合设计性实验不同于一般的验证性实验,需要的时间相对较长。为了能让学生学到更多的实验技术和科学的实验方法,从而也很难提高其实验技能和动手能力,必须开放实验室。开放实验室,首先,要求学生熟悉并已经掌握了简单的实验设备的使用。其次,要求学生在前一个星期就必须选择实验内容或者补做上课的实验内容。第三,要求学生必须严格遵守开放实验室管理条例进行实验操作。

3.2 仿真软件的使用

Multisim仿真软件具有丰富的元件库、虚拟仪器与仪表功能以及强大的仿真功能。教师可以借助该软件对数字电子技术中的部分设计型、综合型实验进行教学,引导学生使用Multisim设计数字电路,是学生能够通过反复修改设计,最终完成实验教学任务。在理论教学环节中,教师通过使用Multisim仿真软件,能够在理论教学过程中对数字电路进行现场演示并分析,用Multisim仿真软件进行仿真教学,教师可以在多媒体教室中深入浅出地分析各种集成逻辑芯片的特性。演示小规模集成电路的工作情况。

4 结语

在数字电路实验工作中,我们不仅注重实践动手能力的培养,更注重逻辑思维能力、综合运用知识能力、创新意识的培养,更要学生掌握工程设计的主要程序和方法,树立正确的设计思想。此外,如何将硬件和软件有机结合起来,如何利用现有的实验条件,对于实验室系统的运行和管理进一步完善,这些都还需要继续探讨。

参考文献:

[1]阎石.数字电子技术基础[M].北京:高等教育出版社,1998.

[2]余魅.论实验教学改革与创新能力培养[J].实验科学与技术,2004,9(3):45-46.

[3]朱孝钦,杨明,胡明辅.实验教学与改革初探[J].实验室研究与探索,2004,23(5):84-85.

[4]周亚俊.全面改革实验教学,培养学生创新能力[J].实验室研究与探索,2004,23(7):78-80.

数字电路实验报告 第5篇

学号:2011302647

姓名:肖辉

日期:2013.5.7

一、实验目的:

(1)掌握中规模集成译码器的逻辑功能和使用方法.(2)掌握中规模集成编码器的逻辑功能和使用方法.(3)熟悉掌握集成译码器74LS138的应用方法.(4)掌握集成译码器的扩展方法

二、实验设备:(1)数字电路实验箱.(2)74LS20.(3)74LS138.三、实验原理:

译码器是一个多输入多输出的组合电路,它的作用是将输的具有特定含义的二进制代码翻译成输出信号的不同组合,实现电路的逻辑控制功能.译码器在数字领域中应用广泛,可用于代码转换,终端数字显示;数据的分配,存储器寻址的组合控制信号等等.译码器可以分为通用译码器和显示译码器两种变量译码器又称二进制译码器,表示n个变量可以产生2(n)个输入函数常用的有74LS755,74LS138,74LS154

四、实验内容:

(1)74LS138译码器逻辑功能的测试

(2)利用3—8译码器74LS138

和与非门

74LS20

实验函数:

(3)用两片74LS138组成4-16线译码器;

五、实验结果:

VCC1VCC5V1J11322Key = Space31U12VCC1J2132Key = Space312J31322123ABCKey = Space336G1GND4~G2A58~G2BGNDVCCY0Y1Y2Y3Y4Y5Y6Y716***09754107U212345671AVCC1B2DNC2C1CNC11D2B1Y2AGND2Y14***4LS138D874LS20NLED1AAKC91R1122330ΩGND1GND

VCC15VVCCVCCJ231321217U1123ABCG1~G2A~G2BGNDVCCY0Y1Y2Y3Y4Y5Y6Y716***097Key = SpaceJ***45881234567LED112345678A1234567816***0916***09Key = Space20J33113221174LS138D19U2123ABCG1~G2A~G2BGNDVCCY0Y1Y2Y3Y4Y5Y6Y716***097Key = Space***41516LED212345678A1234567816***0916***09J431321274LS138DKey = Space11VCC5VVCC5VLED1R1LED2J1U1Key = SpaceJ2123645ABCG1~G2A~G2BY0Y1Y2Y3Y4Y5Y6Y7***097330ΩR2330ΩR3330ΩR4330ΩR5330ΩR6330ΩR7330ΩR8330ΩLED3LED4LED5LED6LED7LED8Key = SpaceJ374S138DKey = SpaceGND

六、心得体会

数字电路实验课程小结 第6篇

这学期通过九周的数字电路的实验,既对门电路、译码器、触发器、计数器等理论知识有了更深的理解,又锻炼了自己实际的操作能力。在已有的课本知识基础上进行实验设计,使同学们在传统实验基础上的创新意识得到体现,同时又巩固了对数字电路的掌握,激发了我们的学习数字电路的兴趣。

首先在做实验之前要要有充分的准备,弄懂实验原理,实验总是与课本知识相关的,就必须回顾课本的知识,掌握相关的知识点。在实验过程中,我们应该尽量减少操作的盲目性提高实验效率的保证,在弄懂了实验原理的基础上,才能保证自己的正确性。

在写预习报告时,会通过仿真,提出问题并试着通过网络找资料自学有关知识以及与他人交流来解决问题。培养了自己发现问题和解决问题的能力,留给学生充分的学习思维时间,增强了学习的主动性,为在课堂上的进一步理解应用打下基础。

同时将两个人组成一小组,再将几小组组成一个大组的形式很好,增强了大家的互动性。无论是在课后还是课上,大家都可以不断交流,互相发现问题,解决问题,在遇到不懂的地方共同努力,齐心协力,共同进步。

数字电子技术实验的开放体现了学生的主体意识,同学们在实验中表现出了积极的主动性。在实验中应思考如何去掌握和运用物理方法,如给定了实验原理和一些条件要求(如相关的芯片),设计实验方案、实验步骤,画出实验电路图,然后进行操作,得出结果。

数字电路实验心得体会 第7篇

数字电路实验心得体会一:数字电路实验心得

在实验具体操作的过程中,对理论知识(半加器和全加器)也有了更近一步的理解,真正达到了理论指导实践,实践检验理论的目的。

实验操作中应特别注意的几点:

(1)刚开始创建工程时选择的目标芯片一定要与实验板上的芯片相对应。

(2)连接电路时要注意保证线与端口连接好,并且注意不要画到器件图形符号的虚线框里面。

(3)顶层文件的实体名只能有一个,而且注意符号文件不能与顶层文件的实体名相同。

(4)保存波形文件时,注意文件名必须与工程名一致,因为在多次为一个工程建立波形文件时,一定要注意保存时文件名要与工程名一致,否则不能得到正确的仿真结果。

(5)仿真时间区域的设定与输入波形周期的设定一定要协调,否则得到波形可能不便于观察或发生错误。

心得体会:刚接触使用一个新的软件,实验前一定要做好预习工作,在具体的实验操作过程中一定要细心,比如在引脚设定时一定要做到“对号入座”,曾经自己由于这一点没做好耗费了很多时间。实验中遇到的各种大小问题基本都是自己独立排查解决的,这对于自己独立解决问题的能力也是一个极大地提高和锻炼,总之这次实验我获益匪浅。

>数字电路实验心得体会二:数电实验心得>>(903字)

数字电子技术是一门理论与实践密切相关的学科,如果光靠理论,我们就会学的头疼,如果借助实验,效果就不一样了,特别是数字电子技术实验,能让我们自己去验证一下书上的理论,自己去设计,这有利于培养我们的实际设计能力和动手能力。

通过数字电子技术实验, 我们不仅仅是做了几个实验,不仅要学会实验技术,更应当掌握实验方法,即用实验检验理论的方法,寻求物理量之间相互关系的方法,寻求最佳方案的方法等等,掌握这些方法比做了几个实验更为重要。

在数字电子技术实验中,我们可以根据所给的实验仪器、实验原理和一些条件要求,设计实验方案、实验步骤,画出实验电路图,然后进行测量,得出结果。

在数字电子技术实验的过程中,我们也遇到了各种各样的问题,针对出现的问题我们会采取相应的措施去解决,比如:

1、线路不通——运用逻辑笔去检查导线是否可用;

2、芯片损坏——运用芯片检测仪器检测芯片是否正常可用以及它的类型;

3、在一些实验中会使用到示波器,这就要求我们能够正确、熟悉地使用示波器,通过学习我们学会了如何调节仪器使波形便于观察,如何在示波器上读出相关参数,如在最后的考试实验《555时基电路及其应用》中,我们能够读出多谐振荡器的Tpl、Tph和单稳态触发器的暂态时间Tw,还有有时是因为接入线的问题,此时可以通过换用原装线来解决。

同时,我们也得到了不少经验教训:

1、当实验过程中若遇到问题,不要盲目的把导线全部拆掉,然后又重新连接一遍,这样不但浪费时间,而且也无法达到锻炼我们动手动脑能力的目的。

此时,我们应该静下心来,冷静地分析问题的所在,有可能存在哪一环节,比如实验原理不正确,或是实验电路需要修正等等,只有这样我们的能力才能有所提高。

2、在实验过程中,要学会分工协作,不能一味的自己动手或是自己一点也不参与其中。

3、在实验过程中,要互相学习,学习优秀同学的方法和长处,同时也要学会虚心向指导老师请教,当然这要建立在自己独立思考过的基础上。

数字电子技术实验,有利于掌握知识体系与学习方法,有利于激发我们学习的主动性,增强自信心,有利于培养我们的创新钻研的能力,有利于书本知识技能的巩固和迁移。通过在数字电子技术实验中的实践,我收获了许多!

>数字电路实验心得体会三:数字电路实验学习心得>>(1359字)

一、学习前

数字电路实验是研究和检验数字电路理论的实验。它也是我们电子科学与技术专业接触到的第一门与专业相关的实验课程。在选课的时候就感觉对于不擅长动手的我这会是一门很难的课程。

然而我清楚地明白数字电子技术是一门理论与实践密切相关的学科,如果光靠理论,我们可能会二丈和尚摸不着头脑,在毫无实践的情况下学习这门课无疑意义的。如果借助实验,效果就不一样了,特别是数字电子技术实验,能让我自己去验证一下书上的理论,自己去设计,这有利于培养我的实际设计能力和动手能力。

任何事情都是从不会到会,没有人一出生就会,虽然我的动手能力比较差,但我是怀着认真学习的良好心态来对待这门课程。我相信通过学习,自己可以得到跟好的锻炼。

二、学习中

数字电路实验课,我们先学习了使用Multisim软件仿真电路。刚开始老师讲的真的一点都不懂,都是靠左右的同学帮忙才能完成老师布置的实验任务,但后来做的多了慢慢就会了,虽然开始比较糟糕,但后来还是迎头赶上了。利用这个软件,我们设计电路的时候可以先在电脑上做一个仿真演习,要是设计出了问题我们就可以先改进,不至于不必要的烧坏元器件,大大的减少了资源的浪费。学会仿真后我们就进入了实验室进行一系列的“真枪实战”,刚开始的时候也是一样,手忙脚乱不知所措,还烧坏了两个元器件。主要原因还是自己太粗心了,总是把电路接反,以至于元器件发出了“恶臭”。于此,我深感抱歉!老师说“不怕你烧坏元件,就怕你不敢动手去做”。老师的这句话给了我很大的鼓励!久而久之,在实验中我也慢慢找到了乐趣,尤其是焊电路。以前我最讨厌学习电路,很害怕接触与“电”相关的实,哪怕只是初中学习的串并联的简单电路。然而在我们彭老师的带领下我居然开始愿意自己动手去焊电路,开始时只是抱着试试,玩玩的态度,拿着电烙铁的时候手都在发抖。但慢慢的,慢慢的居然玩出了乐趣。第一次焊小风扇实验时,虽然结果失败了,小风扇没有转起来,但真正的完成了一个电路耶,真的太棒了!

三、学习后

时间过得很快,数电实验课已接近尾声,回顾学习过程有苦有甜。通过学习有以下几点经验:

1、线路不通可以运用逻辑笔去检查导线是否可用;检查哪里是否断路,导线没有接好。

2、在实验过程中切记焦躁,在遇到问题是不要盲目的把导线全部拆掉,然后从新连接,这样不但不能锻炼自己动手动脑的目的而且很浪费时间。此时应该静下心来认真思考,冷静分析问题所在,及时修改。

3、在实验过程中,要互相学习,学习优秀同学的方法和长处,同时也要学会虚心向指导老师请教,当然这要建立在自己独立思考过的基础上。

4、在实验过程中,要学会分工协作,不能一味的自己动手或是自己一点也不参与其中。

四、教学意见

彭老师的幽默,为课程增添了许多的乐趣,他让我们在轻松愉快地氛围下,完成了实验任务。老师的悉心教导也让我们对原本不喜欢的实验课程产生了浓厚的兴趣,从而更好地学习了数字电路,也培养了我们的动手能力。相信在浓厚的兴趣之下我们能更好的去完成接下来的课程!

浅析数字逻辑电路实验教学 第8篇

一、合理安排实验内容, 培养学生创新能力

实验教学不同于理论教学, 应该通过实验, 使所学理论知识得到验证和巩固, 另一方面, 通过实验, 培养和锻炼学生解决实际问题的能力。数字逻辑电路是一门很重要的专业基础课, 目前我校开设的实验项目有:TTL门电路的逻辑功能及测试;组合逻辑电路;译码器及其应用;数据选择器功能测试;触发器及其应用;计数器功能测试;脉冲分配器及其应用。其中大部分是基础性的验证实验, 是对理论教学的简单验证和基础实验技能的训练, 例如:74LS20与非门功能验证, 74LS151数据选择器功能验证以及74LS74, 74LS112触发器功能验证等等, 这类实验虽然对基本理论知识的理解、学生基础实验技能与电子测量技能的培养是很有帮助的, 但因已有实验图, 安装时只要细心, 即使不懂电路工作原理也能完成实验, 而且由于常用数字集成电路的真值表往往在理论教材上就已经给出, 一些学生便据此照抄, 导致实验还未操作, 而实验报告却已提前完成, 这使得实验报告不能充分地反应其实验过程和实验结果。纯粹的验证性实验使学生感觉枯燥乏味, 因此不利于培养学生的分析、解决问题能力及创新思维。所以, 在教学内容的选择上既应有一定量的验证性实验, 同时也应该有适量的综合性、设计性实验, 两者的有机结合对课堂教学效果是至关重要的。例如将数据选择器的功能测试改为多数表决电路或者交通信号灯监视电路的设计, 在减少实验学时的同时, 增强了趣味性和实用性, 在熟悉和掌握功能的基础上, 应用性实验项目又拓宽了学生的知识面, 增强了学生学习的主动性。

当然, 对综合设计性实验的安排, 以不脱离实验大纲的基础为前提, 对不同专业学生所开设实验项目要有所选择, 根据专业各自的需要, 注重因材施教, 在保证全部学生达到基本要求的基础上, 对于成绩优秀的学生也给予一个宽松的能够进一步学习的自由环境, 从而使创新能力的培养得到更好的提高。其次要营造一个激励性的环境, 鼓励学生创造。安排一些有创意的实验项目, 给出有创造性思维才能解决的问题, 让学生去思考, 去寻找解决问题的方法, 鼓励学生进行创造性学习。

二、改善实验教学方法, 提高学生综合素质

教学方法的选择恰当与否, 直接影响到课堂的教学效果。根据实际情况改善实验教学方法, 具体采取以下措施:

1. 让学生扮演课堂的主角, 教师加以正确的引导

实验是手脑并用的实践活动, 学生通过理解实验原理、操作仪器、观察实验现象和分析实验结果, 使观察能力、思维能力、操作能力得到锻炼。传统实验教学都是以老师为主, 实验老师从实验目的、实验原理及仪器使用, 到实验步骤到实验注意事项的介绍, 非常详细, 有时还做实验演示。而后学生按照老师的讲解进行实验操作。这种教学方式按部就班, 能避免学生在实验操作中出现一些这样那样的问题, 有利于实验的顺利完成。但是其弊端是学生思考和发挥的空间减小, 动手机会和动手能力下降。实验操作中出现问题的机会减少甚至从头至尾没有任何问题, 这其实不利于学生分析问题和解决问题能力的培养。因此实验过程应始终以全体学生为主体, 自觉动手、动脑, 目的是培养学生独立自主的学习, 激发学生学习的积极性和创造性, 把整个教学过程看成是学生主体, 教师引导的过程。教师在学生的整个实验过程中仅当配角, 对学生的操作方法、实验中遇到的问题加以正确引导、启发。另一方面, 指导教师要求学生课前预习并写预习报告, 让学生充分了解实验目的、原理、内容、步骤及有关注意事项, 认真做好预习题, 以便做到心中有数。只有让学生实验前做到胸有成竹, 实验的过程中才能做到得心应手。通过这种课堂角色的转换, 较好地调动了学生的主观能动性, 锻炼了学生独立思考分析问题和解决问题的能力。

2. 注重调试与故障检修技能, 提高学生的动手能力

数字逻辑电路实验中一个重要环节是通过电路调试、故障排查技能的训练培养学生独立分析问题、解决问题的能力, 提高学生的动手能力。当电路不能按预定的逻辑功能工作或达不到所要求的性能时, 电路就可产生故障。数字电路产生故障的原因是很复杂的, 常见的故障是由以下几个原因引起的: (1) 应用器件设计错误; (2) 布线错误; (3) 接插错误; (4) 干扰故障; (5) 集成芯片不匹配。目前的学生普遍动手能力较差, 电路一旦出现故障, 多数学生宁愿选择的是推倒重来的下策。所以我们在第一次实验时就向学生讲清学习调试与故障检修技能的重要性, 在实验中指导教师要耐心引导, 并允许学生犯错。在出错纠错过程中, 使学生从中体验到独立解决问题后所带来的收获感, 进而激发学生的创新意识, 提高学生的动手能力。

3. 加大实验室开放力度, 提高学生综合素质

实验室开放, 不仅能对学生技能进行训练, 培养学生的创新意识, 还能充分发挥实验室现有资源、提高仪器设备利用率。本实验室开放采用学生为主体, 教师加以启发指导的开放模式。如“智能交通灯控制系统”实验, 教师提前给出题目以及要达到的技术指标, 实验室提供可能用到的仪器设备, 由学生独立完成实验任务。整个过程, 学生通过合作交流反思, 形成探究能力, 学生在与老师同学之间的交流中, 不断完成自己的实验设计, 完成实验报告。将来, 本实验室还将开放科研型实验, 将实验室的部分科研项目对高年级本科生开放, 吸收部分优秀学生早进入实验室参与科学研究活动。同时, 借助计算机辅助设计软件, 如MAX+PLUS开发系统, 来进行电路的设计、模拟和调试, 学生可以根据自己的时间安排实验、根据自己发展的方向和特长选择实验, 有了学习的主动权。宽松的环境和严谨的治学氛围更有利于学生感受、理解知识产生和发展的过程, 养成科学精神和创新思维的良好习惯。

三、结束语

数字逻辑电路实验是数字逻辑电路教学中的重要的实践环节, 它要求学生们首先要养成良好的实验习惯, 也要求学生们具备理论联系实际的能力。在实验的教学过程中, 教师只有合理地安排实验内容和进行实验教学方法的创新, 给学生提供自主学习、自我发展的时间和空间, 让他们有一定的独立思考和发展自己见解的余地, 增强他们学习的积极性和主动性, 才能有效扎实地促进学生自主、全面的发展。

参考文献

[1]薛延侠.“数字电路”实验教学的创新与研究[J].实验室研究与探索, 2007, 26

[2]白旭芳, 张红霞.数字电路实验教学浅谈[J].内蒙古民族大学学报, 2007, 2

中职数字电路实验教学的思考 第9篇

关键词:中职学校 数字电路 实验教学

一、 引言

数字电路实验课程的开展不仅可以提高学生的思维能力、创造能力,更重要的是为电子信息行业提供更多优质的人才。随着大规模集成电路、EDA技术、可编程器件的高速发展,国家和社会对数字电路这方面的人才需要越来越高。但是从目前我国整体教学水平来看,数字电路实验教学质量并不高,受到诸多条件的影响,导致数字电路实验教学效果和质量不高。为顺应当今社会的飞速发展,培养综合素质高的新一代人才,对中职学校数字电路实验教学进行了剖析,为激发学生学习的主动性、营造积极良好的课堂氛围、提高教学质量奠定基础。

二、强化中职数字电路实验课程的重要性

传统的数字电路实验课程与理论课合为一门课程,且实验成绩仅仅占数字电路课程总成绩的15%,这就使得中职学生对数字电路实验课程的重视程度低,对学生实验能力和教学质量的提高造成了抑制作用。另外,中职生的文化知识基础普遍薄弱,思维方式传统单一,对数字电路实验这门动手性、思维能力以及创造能力要求较高的课程来讲,他们往往遇到稍微复杂的问题就会产生畏难情绪,对自身的发展十分不利。再加上数字电路实验课教学中,老师往往就是让学生进入实验室,将实验的题目和内容告知后学生按部就班的进行,最终得出结果填写表格,记录好数据,那么实验就完成了。这样难以开发学生的创新思维,久而久之学生便会产生厌学情绪,严重影响了教学质量。

为提高中职数字电路实验课程的教学质量,使学生认识到实验课程的重要性,激发学生的学习积极性和主动性,提升学生的动手能力、思维能力以及创新能力,必须强化中职数字电路实验课程教学,将数字电路实验设为一门独立课,引起学生们的重视。另外还应该对教学模式和教学内容进行创新,打破传统两位老师共同授课的模式,避免学生因理论老师和实验老师的教学思路与模式不同而产生不良的影响。因此实验课程独立设课后,主讲老师应该由实验老师来承担,根据中职生的文化水平,加强实验原理和步骤的引导,重点强调实验器材的使用,这样有利于激发学生的积极性和创造性,使学生顺利完成实验。

三、 加强中职数字电路实验教学的改革

(一) 教学形式的改革

(1)数字电路理论教学

数字电路理论课教学比较枯燥乏味,由于中职生的耐心较差,一小阶段讲解后学生注意力往往就不能集中了,出现了玩手机、睡觉、看其他课外书的现象,不愿意积极配合老师完成教学任务。这主要是因为中职生渴望学到生动、形象、有趣的东西,对理论性太强的知识毫无兴趣可言,难以提高教学质量。因此必须在数字电路理论教学过程中,营造出轻松、愉快的教学氛围,让学生真的“活”起来。比如在对多功能函数发生器数字电路课程设计的讲解中,老师可以把设计多功能函数发生器相关的设计问题列在多媒体投影仪上:①设计的多功能函数发生器有哪些功能?②实现这些功能需要什么芯片?③它的逻辑原理是什么?④数字电路图应该怎么设计?⑤你能代表你们小组上台讲述吗?这些问题可以通过抢答或者自愿的方式,让学生能较快的融入在教学当中。

(2) 使实践性实验取代验证性实验

目前中职学校数字电路实验教学往往以验证性为主,能强化学生对基础知识的理解与消化。但是随着电子信息技术的飞速发展,我们不能完全停留在实验验证阶段,实验教学的知识往往具有一定的层次性和相关性,因此老师需要设计出更多具有递进型的问题,引导学生进行正确的实验,使其能更好的掌握知识,提升能力。比如在对555定时器进行实验教学时,首先老师应该让学生明白555集成定时电路结构和工作原理,掌握555集成定时电路的基本应用等知识。如图1所示为555定时器内部框图与引脚排列。老师在设计问题时,应该循序渐进,保持前后联系,逐渐加深。比如①利用555定时器设计出楼道灯光定时?②利用555设计出闪烁灯,保持其闪烁频率为1HZ?③利用555设计两种频率声音的门铃?这几个任务前后相连,比较符合学生发展和认知的规律,能最大程度激发学生的学习积极性与创造性,提高教学质量。

图1 555定时器内部框图与引脚排列(二) 教学内容的改革

(1)基础性试验

基础性实验主要以数字电路典型的案例为主,提高学生对基础知识的理解。比如在对典型实例多功能数字钟功能设计时,根据VHDL的特点,设计人员无需对固定功能的标准芯片进行考虑,直接从实现系统功能出发,建立多功能数字钟系统模块,如图2所示。本系统主要采用分底层和顶层两个层次设计,采用VHDL编写各个功能模块,通过输入原理图方式对各模块间的连接关系进行描述。通过该案例的分析,可以对EDA技术知识有了一个清晰、全面、系统的认识。

图2 多功能数字钟系统模块示意图(2) 设计性实验

设计性实验是对学生动手能力、创新能力的体现。在这个环节,数字电路实验课程可以把生活中的實际应用作为课题,让学生融入生活、观察生活,使其体会到知识的实用性。在实验设计过程中,学生不能一味的求助老师,可以借助网络和图书馆中的大量资料,设计出电路图和相关芯片,最后可以让老师进行引导、点评,使实验更加完美。在这个环节教学质量提升的效果是十分明显的,不仅让学生学习到了专业知识,也学到了书本外的知识。

(3) 大型实验

虽然中职生的文化基础普遍薄弱,但是其中不缺少一些水平和能力较高的同学。这部分学生除了完成平时的数字电路实验教学任务外,还可以参加市级省级乃至全国性的比赛,这样的实验往往要求学生从选题—设计方案—设计电路图—购买元器件—组装—进行实验—撰写报告等环节必须独立完成,这样不仅可以提高学生的创新能力和动手能力,还能为后期进入社会、走上工作岗位创造有利的条件。

(三)实验成绩考核方式的改革

传统数字电路实验成绩考核方式由平时上课出勤率和实验报告完成情况组成,由于学生在实验报告中存在严重抄袭的行为,老师难以对学生真正的水平进行衡量。因此必须对成绩考核方式进行改革,平时成绩占60%,期末卷面成绩占40%,两部分组成实验课程的期末总成绩。在对卷面考试题目进行设置时,可以把学生平时操作过的所有实验项目进行汇总,考试时由学生抽签决定,确保考试的公平性,这样也可以调动学生平时实验操作的积极性和主动性。

四、 结束语

在教育体系不断改革与创新的条件下,中职学校和老师应该根据中职生文化、能力的实际情况,优化教学模式和教学内容,增强课堂的趣味性,营造积极向上、轻松愉快的课堂氛围。在加强数字电路理论教学的同时,更重要的是提高实验的实践性,培养学生的动手能力、思维能力和创造能力,同时对课程成績考核方式进行改革,充分调动学生平时实验操作的积极性,最终形成一种科学、高效的实验教学新模式,促进我国中职学校数字电路实验教学质量与水平的提升。

参考文献:

[1]刘彦飞,代永红,张荣.EDA软件在电路实验教学中的应用[J].长江大学学报(自然版),2011,08(7).

[2]莫琳.数字电路课程设计实验教学改革的探索与实践[J].中国电力教育,2013,(14).

[3]张菁.EDA仿真在数字电路教学中的应用研究[J].魅力中国,2009,(22).

[4]曹维.数字电路与逻辑设计"实验教学改革探索与实践[J].计算机教育,2009,(15).

[5]蒋媛.通过数字电路实验教学培养学生综合能力的探讨[J].科技信息(科学·教研),2008,(3).

上一篇:校园网维护实习报告下一篇:线长工作报告书