数字电路与逻辑设计实验报告

2024-06-22

数字电路与逻辑设计实验报告(精选11篇)

数字电路与逻辑设计实验报告 第1篇

实验 报告书

课程名称

数字电路与逻辑设计

计算机科学与技术

09030234

指导教师

成绩

2010年 年 11月 月 10 日

实验题目:

译码器、数据选择器及其应用

一、实验目的 1、掌握中规模集成译码器与数据选择器的逻辑功能与使用方法

2、熟悉数码管的使用 3、学习用数据选择器构成组合逻辑电路的方法 二、实验原理 1 1、中规模集成译码器 74 LS 138

74LS138是集成3线-8线译码器,在数字系统中应用比较广泛。图-1是其引脚排列。其中 A2、A1、A0为地址输入端,0Y~ 7Y为译码输出端,S1、2S、3S为使能端。

图-1 74LS138真值表图-2如下:

图-2 74HC138工作原理为:当S1=1,S— 2+S — 3=0时,器件使能,电路完成译码功能,输出低电平有效。当S=0,S— 2+S — 3=X时,或S1=1, S— 2+S — 3=1,译码器被禁止,所有输出同时为1 2 2、双4 4 选1 1 数据选择器

74LS153 ?

所谓双4选1数据选择器就是在一块集成芯片上有两个4选1数据选择器。引脚排列如图-3所示,功能表如图-4所示。

图-3

输入 输出 S—

A1 A0 Q 1 0 0 0 0 X 0 0 1 1 X 0 1 0 1 0 D0 D1 D2 D3 图-4

1S—、2S — 为两个独立的使能端;A1、A0为两个公用的地址输入端;1D0~1D3和2D0~2D3分别为两个4选1数据选择器的数据输入端;Q1、Q2为两个输出端。

当使能端1S—(2S —)=1时,多路开关被禁止,无输出,Q=0。

当使能端1S—(2S —)=0时,多路开关正常工作,根据地址码A1、A0的状态,将相应的数据D0~D3送到输出端Q。3、8 8 选1 1 数据选择器 74LS151

74LS151为互补输出的8选1数据选择器,引脚排列如图-5所示,功能表如图-6所示。

图-5

图-6 选择控制端(地址端)为A2~A0,按二进制译码,从8个输入数据D0~D7中,选择一个需要的数据送到输出端Q,S— 为使能端,低电平有效。

使能端S— =1时,不论A2~A0状态如何,均无输出,多路开关被禁止。

使能端S— =0时,多路开关正常工作,根据地址码A2、A1、A0的状态选择D0~D7中某一个通道的数据输送到输出端Q。

三、实验设备及器件 ●

硬件:PC机一台 ●

软件:QuartusⅡ5.0集成开发环境 四、实验内容 1.使用74LS138实现逻辑函数 F=A’B’C’+AB’C’+ABC 2.使用74LS151实现逻辑函数 F=AB’+A’B+AB 3.使用74LS153实现逻辑函数 F=A’BC+AB’C+ABC’+ABC

五、实 验过程 1、使用74LS138实现逻辑函数 F=A’B’C’+AB’C’+ABC ① 由74LS138功能表(图-1)可知电路图连接如图-7所示

图-7 ② 经编译检查无错(图-8)

图-8

③ 对其进行仿真,设置好一定仿真时间区域与输入波形后启动仿真器得仿真结果如图-9

图-9 2、使用74LS151实现逻辑函数F=AB’+A’B+AB

①将输入变量C、B、A作为8选1数据选择器的地址码A2、A1、A0。使8选1数据选择器的各个数据输入D0~D7分别与函数F的输出值一一对应,即A2A1A0=CBA、D0=D2=D3=0、D0=D4=D5=D6=D7=1则输出Q便实现了函数AB’+A’B+AB接线图如图-10

图-10 ②经编译检查无错(图-11)

图-11 ③对其进行仿真,设置好一定仿真时间区域与输入波形后启动仿真器得仿真结果如图-12

图-12 3、使用74LS153实现逻辑函数 F=A’BC+AB’C+ABC’+ABC

①函数F有3个输入变量A、B、C,而数据选择器有2个地址端A1、A0少于数据函数输入变量个数,在设计时可任选A接A1,B接A0。接线如图-13

图-13

②经编译检查无错如图-14

图-14 ③对其进行仿真,设置好一定仿真时间区域与输入波形后启动仿真器得仿真结果如图-15

图-15 六、实验心得 通过这次试验,我熟练掌握了74LS138译码器、74LS151和74LS153数据选择器的使用特点和方法。加强了对这些知识的了解,熟练掌握了QuartusⅡ5.0集成开发环境的使用。

数字电路与逻辑设计实验报告 第2篇

引言

本课程是面向智能车制作的课程,但是主要讲述的是一些关于智能车制作的一些最基本的基础知识。比如说一些单片机的知识和一些相应数字电路的知识。故我在这里利用一些所学的知识来设计一个数字时钟。该电路系统由秒信号发生器、“时、分、秒”计数器、显示器组成。秒信号产生器是整个系统的时基信号,它直接决定计时系统的精度,这里用多谐振荡器来实现。将标准秒信号送入“秒计数器”,“秒计数器”采用60进制计数器,每累计60秒发出一个“分脉冲”信号,该信号将作为“分计数器”的时钟脉冲。“分计数器”也采用60进制计数器,每累计60分钟,发出一个“时脉冲”信号,该信号将被送到“时计数器”。“时计数器”采用24进制计时器,可实现对一天24小时的累计。译码显示电路将“时”、“分”、“秒”计数器的输出状态送到七段显示译码器进行译码,通过六个七段数码管显示出来。

本作品的主要设计目的是熟练使用555定时器构成多谐振荡器的方法,掌握使用74LS160构成60进制计数器的方法以及使用74LS160构成24进制计数器的方法,理解在实际的设计电路中电压电流关系对整个电路功能的实现所具有的重要性。

关键词:数字电子钟;555定时器;60进制计数器;24进制计数器;共阳极七段数码管;74LS47译码器;

第一章:设计方案的选择

数字电子技术的复杂性和灵活性决定了数字电子钟的设计方案有多种,以下是本设计的方案选择。

1、信号源的选择

多谐振荡器,信号发生器,脉冲芯片以及石英晶体振荡器等方式都可以作为脉冲信号源,但是石英晶振产生的频率较高,需要用到分频器来对它进行分频处理,故在此我选择的是用555定时器制作的多谐振荡器,主要考虑的是它的易于制作和很好的稳定性。

2、计数器的选择

时分秒计数器的选择在74系列里同样有多种,74LS160和74LS161,74HC161,74LS191等等也都可以,考虑到其简单易用,在此我选择的是74LS160。

3、译码器的选择

译码器的选择就只有两大类,一类是驱动共阳极的数码管,一类是驱动共阴极的数码管。在74系列里也有好几种,在这里我选用74LS47来驱动共阳极数码管。

4、元器件清单

74LS160(十进制计数器)——6个,74LS47(译码器)——6个;74LS00(2输入与非门)——3个;BCD数码管——6个;NE555芯片一个,电阻,电容,滑动变阻器。

第二章、设计原理描述

1、数字计时器的设计思想

要想构成数字钟,首先应选择一个脉冲源——能自动地产生稳定的标准时间脉冲信号。而脉冲源产生的脉冲信号地频率较高,因此,需要进行分频,使得高频脉冲信号变成适合于计时的低频脉冲信号,即“秒脉冲信号”(频率为1Hz)。经过分频器输出的秒脉冲信号到计数器中进行计数。由于计时的规律是:60秒=1分,60分=1小时,24小时=1天,就需要分别设计60进制,24进制计数器,并发出驱动信号。各计数器输出信号经译码器、驱动器到数字显示器,使“时”、“分”、“秒”得以数字显示出来。我设计的数字时钟就是用来计时的,厄并没有增加那个什么其它的报时、校对的功能。

2、设计框图:

电子钟在逻辑功能上是有秒脉冲发生器、秒计数器、分计数器、时计数器、译码器、显示器等组成。其原理框图如下所示:

秒脉冲计数器——>>秒计数器——>>分计数器——>>时计数器——>>译码器——>>显示器

3、时钟信号的产生:

用NE555芯片和两个电阻以及两个电容组成一个时钟振荡电路,用来产生时钟信号的。电阻R1、R2和电容C1构成定时电路。定时电容C1上的电压UC作为高触发端TH(6脚)和低触发端TL(2脚)的外触发电压。放电端D(7脚)接在R1和R2之间。电压控制端K(5脚)不外接控制电压而接入高频干扰旁路电容C2(0.01uF)。直接复位端R(4脚)接高电平,使NE555处于非复位状态,3脚用于输出时钟信号。

由555定时器组成的多谐振荡器如图(C)所示,其中R1、R2和电容C为外接元件。其工作波如图(D)所示。

图2-3 555定时器组成的多谐振荡器及其工作波形图 设电容的初始电压Uc=0,t=0时接通电源,由于电容电压不能突变,所以高、低触发端VTH=VTL=0<VCC,比较器A1输出为高电平,A2输出为低电平,即RD1,SD0(1表示高电位,0表示低电位),RS触发器置1,定时器输出u01此时Q0,定时器内部放电三极管截止,电源Vcc经R1,R2向电容C充电,uc逐渐升高。当uc上升

___13__1到Vcc时,A2输出由0翻转为1,这时RDSD1,RS触发顺保持状3态不变。所以0

_2tt1时刻,uc上升到Vcc,比较器A1的输出由1变为0,这时RD0,3SD1,RS触发器复0,定时器输出u00。

t1tt2期间,Q1,放电三极管T导通,电容C通过R2放电。uc__按指数规律下降,当ucVcc时比较器A1输出由0变为1,R-S触发器的RDSD1,Q的状态不变,u0的状态仍为低电平。

tt2时刻,uc下降到__23_1Vcc,比较器A2输出由1变为0,R---S触3发器的RD1,SD0,触发器处于1,定时器输出u01。此时电源再次向电容C放电,重复上述过程。

通过上述分析可知,电容充电时,定时器输出u01,电容放电时,u00,电容不断地进行充、放电,输出端便获得矩形波。多谐振荡_器无外部信号输入,却能输出矩形波,其实质是将直流形式的电能变为矩形波形式的电能。

多谐振荡器的放电时间常数分别为

tPH≈0.7×(R1+R2)×C1 tPL≈0.7×R2×C1

振荡周期T和振荡频率f分别为 T=tPH+tPL≈0.7×(R1+2R2)×C1

f=1/T≈1/[0.7×(R1+2R2)×C1] 根据以上两个式子就可以根据所需要的频率来确定电阻和电容的参数,但是为了频率可调一般会在电路4和7中间接入一个滑动变阻器。

4、用两个74LS160组成一个60进制的计数器原理: 74LS160本来是十进制的计数器,那么如何用两个十进制的计数器使它成为一个60进制的计数器呢?不过仅仅用这两个芯片是不可能的,还需要借助一个叫做74LS00的与非门芯片。

74LS160的工作要满足的条件有两个:它的MR,PE,CEP,CET这四个端口要为高电平才可以为计数状态;另一个条件就是CP端要有上升沿信号的输入,才会进入计数的状态。当MR为低电平的时候,无论74LS160的状态如何,都会把数据进行清零。那么在了解了这三个关于74LS160的基本的知识之后,那么就可以开始设计60进制的计数器了。原理图如下:

首先第一个160芯片的CP端接入到信号发生的那个端口上,其它的PE,CER,CET,MR都接到高电平上。在160的四个输出端,把四个输出端按照高低位的顺序接入到74LS47的输入端上。再把第一个芯片的TC(进位输出端)接到第二个芯片的CP端。接到第二个芯片的CP端是为了产生时钟计数信号(也即秒钟的个位计数信号)。第二个芯片的接法也是它的PE,CER,CET都接到高电平上,在160的四个输出端上,一方面把13和12两端的线接到第一个74LS00的输入端,另一方面把四个输出端按照高低位的顺序接入到74LS47的输入端上。再把74LS00的输出端接到第二个芯片的MR端和第三个芯片的CP端。接到第二个芯片的MR端是为了对第二个芯片的计数进行清零处理;而接到第三个芯片的CP端是为了产生时钟计数信号(也即分钟的个位计数信号)。

那么下面解释一下为什么是这么接线的:160是一个四位的计数器,从低位到高位的依次顺序是(14、13、12、11)。当74LS00的输入信号全是高电平时,其输出信号为低电平(但两个输入信号不全为高电平的时候,其输出端一直为高电平),把第一个芯片的TC端接到第二个芯片的CP端,是因为160具有自动进位的功能,每当160从9变到0的时候,就会在TC端产生一个高电平脉冲信号,从而产生了一个上升沿,使得第二个芯片开始计数。对于第二个芯片我们只要其当计数到6就可以,但是又不能出现6,所以第二个芯片的输出端的接法是把13、12两个端口接到74LS00的输入端,但第二个芯片从5变到6时(CP端会受到第一个芯片的影响,从而触发第二个芯片从5变到6),此时端口输出可表示为(0110),从而和第一个芯片引发类似的数据清零处理。

在这个数字电路中是需要两个60进制的计数器的,但是分钟的计数器和秒钟是差不多的。

5、用两个74LS160组成24进制计数器的原理: 电路图如下图所示:

组成24进制的计数器的方法用点不同于60进制计数器的连接方法。因为时钟只能从0计时到24,但是有因为时钟的个位数不是一个循环的计数,第二次计数时只要计到4变5的时候就要清零,而时钟的十位数只要计到2变3的时候就要清零了,因此连接方法也就不同于之前的。

第五个芯片的CP端也是接到第二个74LS00的输出端口上,它的PE,CER,CET都接到高电平上。在160的四个输出端上,一方面把12端(0010)的线接到第三个74LS00的输入端,另一方面把四个输出端按照高低位的顺序接入到74LS47的输入端上。而对于第六个芯片的输出端中的13端(0100)接到第三个74LS00的输入端,对于74LS00的输出端分别接到第五个和第六个的MR端,这样就可以实现时钟的清零了,不会出现24了。因为当第五个芯片从3变成4的一瞬间,MR也在差不多同时进行清零了。对于第五个的计数是一方面利用160自身的从9变0的功能。

6、显示电路:

在设计我用74LS47译码器来驱动共阳极的一位数码管,电路图如

第三章:数字电路的仿真设计 在multisim中画完电路之后,在进行仿真,以用来检验电路的正确性。完整的电路图如下,在仿真的时候没有接入ne555电路,而是用的函数发生器来充当信号源。但是效果是一样的。

仿真的结果分析:经测试,电路可以实现设计要求,可以实现数字钟的基本功能,秒脉冲信号及60、24进制计数器均可正常工作。所以,基于仿真结果可以认定,此次数字钟的设计是成功的。

第四章:心得体会

通过这次对数字电子钟的课程设计,把课本上学到的知识与实践相结合。从中对学到的知识有了更进一步的理解,而且更进一步的熟悉了芯片的结构及掌握了各芯片的工作原理和其具体的使用方法。也锻炼了自己独立思考问题的能力和通过查看相关资料来解决问题的习惯。厄,在一开始的时候我查阅了智能车队的里上传的关于74系列的资料,在认真阅读完相应的芯片的资料后,发现不是很懂。只知道74LS160是十进制的计数器,但是怎样才能组成60进制和24进制的计数器呢,不得已只能上网查阅资料,但是一查却发现许多是基于单片机的电子钟设计,而不是纯数字电路,后来找到一些资料和图,却发现不是很好理解。最后按照一个查到的关于60进制的计数器,在软件上连了,却发现没用第二个数码管根本不会计数,也即没有上升沿的输入。最后看了半天和百度,才发现那个电路图是有问题的了。74LS160虽然是十进制的计数器,但是它便不会计到10,而是在9以后就变为0了,所以把160的13和11两端的线接到与非门上,根本就不会输出低电平,一直保持的高电平输出。最后在研究了一下160的几个端口的功能之后,发现我完全可以把第一个160的TC端接到第二个芯片的CP端以用来产生时钟信号。按照这种思路来设计电路的话,就可以只要用到三个74LS00与非门了,比一些用五个的就要更简便一些了。从这个查阅资料的过程中,我发现了有问题可以找百度,但是却不能依赖于百度,有时看书才是王道那。

其实设计本身并不是有很重要的意义,而是在于我们对待问题时的态度和处理事情的能力。各个芯片能够完成什么样的功能,使用芯片时应该注意那些要点。同一个电路可以用那些芯片实现,各个芯片实现同一个功能的区别。

另外,我还渐渐熟悉了multisim这个仿真软件的各个功能,让我体会到了其中的乐趣,而在用这个软件的时候,还要学会如何去接线和安排这些元器件,用实现电路的简洁和直观,尽量要少的线交叉在一起。熟练掌握了Multisim仿真软件的使用,最重要的是提高了自己的动手实践能力,话说熟练的掌握了这个软件的一些基本的用法,比如说找元器件那和设置参数那,那么以后我们也就可以按照自己的思路去设计一些电路,并进行仿真,以证实自己的想法并且进行改进。具体来说:

一、学会了如何使用Multisim 10软件。

二、在实践中了解了多种元件的功能和参数。

三、从仿真实践中不仅学会了如何去分析问题和解决问题,也体会到了成功的喜悦和失败的忧郁。

四、提高了自己的读图和分析图还有设计图的能力。

五、掌握了设计不同进制的计数器的方法。

数字电路与逻辑设计实验报告 第3篇

关键词:高校教学改革,数字电路与逻辑设计,实验

《数字电路与逻辑设计》是通信工程类专业的一门专业基础课, 是一门极强的理论知识与专业技术相结合的课程。数字电路与逻辑设计课程理论基础严密详实, 但若没有相应的实验作保障, 学生也难以理解和掌握该课程的基本内容, 为后续专业课程打好专业基础。所以, 这门课程担负着巩固理论知识, 培养学生动手能力和创新能力的重任, 在专业课教学中具有举足轻重的地位, 理所当然地成为教学改革的前沿。几年来, 在我校一系列课程建设及相关教改项目的推动下, 《数字电路与逻辑设计》的课程改革工作取得了一些成果。但随着教改的进一步深入, 也随之发现了不全面的地方和一些新的问题。为了解决问题、化解矛盾, 巩固发展教改成果, 将从以下几方面进行可行的教学改革尝试。

1 课程教学内容的改革

好的教学内容应该体现以人为本的教育思想, 必须体现基础性、系统性、先进性和可迁移性, 具有与时俱进的特点。《数字电路与逻辑设计》课程教学时应紧扣教学大纲, 将教学内容分为数字逻辑基础、组合逻辑电路、时序逻辑电路和脉冲信号的产生与整形电路四大模块进行教学活动, 以集成电路为主干, 删讲分立元件电路, 侧重点放在器件的功能与应用方面。教学进程安排中, 以中小规模集成电路为基础, 向中大规模集成电路深入, 逐步学习编码器、译码器等各类组合逻辑电路, 触发器、寄存器以及计数器等各类时序逻辑电路。教学中强调基本知识、基本应用, 来保证学生容易入门, 容易理解。学生通过学习, 能构建完整的知识体系并获得扎实的专业基本功, 为后续课程的学习或工作奠定基础。教学内容应保证先进性、可迁移性, 能够使学生在掌握基础的前提下灵活运用。

比如一道分析题, 把电路结构稍加改动, 学生可能便无从下手。教师课堂讲授的内容学生似乎听懂但对知识的灵活运用却很欠缺, 这与学生适应现代社会的目的是极不相称的。为此, 应探索以培养学生创新意识和创新能力为目标的教学新理念、新模式和新手段。

2 进一步更新完善教学手段与方法

采用启发式教学方法。所谓启发式教学就是在教师主导下, 为学生创造一个好的环境, 调动学习的主动性、积极性、创造性, 引导学生举一反三, 激励他们的内在动机, 促进学生自学能力、创造能力和组织能力的发展。为此, 每一节课教师都要精心设计教案, 注重知识点的引入和综合运用, 使课堂教学有声有色。教与学互相激励具体做法如下: (1) 注重知识点的引入, 教师要善于提出问题, 揭示矛盾, 激发学生的求知欲望。因此, 在讲课中, 可根据知识点的特点, 采用不同的引入方式。 (2) 挖掘知识的内在联系, 注意知识点的综合运用。 (3) 对教学内容精讲、少讲, 引导、训练学生思维, 不要代替他们思维, 更不要制约他们的思维。 (4) 针对学生的不同情况, 实行个性化教学, 做到因人施教。

在教学方式上应以计算机技术、多媒体技术为核心, 多种教学技术手段综合运用。一方面, 可以在本课程教学中引入计算机工具软件, 例如电子设计自动化工具软件EDA等, 形成“电子仿真工作平台”, 丰富《数字电路与逻辑设计》课程的教学内容, 更新课程的教学思路, 提高课程的实践性与应用性。多媒体技术的合理应用, 可以使课堂教学由静态灌输转变为图文并茂的动态传播, 增强感染力, 拓展知识面, 减少课堂板书的时间。特别是《数字电路与逻辑设计》这门课, 电路图既复杂又庞大, 利用多媒体技术辅助教学, 使教学内容生动形象, 让学生亲眼看到电路的走向以及逻辑功能的变化, 加快学生对问题的理解。另一方面, 应充分利用互联网平台, 延伸教学互动空间。创新素质教育提倡学生课外的自主学习, 为了提高学生自主学习的质量, 教师的指导是必不可少的。借助网络平台的交互性和共享性, 开展网上指导与交流。由于在网络上是一对一的交流沟通, 教师可针对学生领悟程度的差异, 采用不同的指导方案, 更好地体现因材施教的教育理念。通过互联网平台营造的新型信息化教学环境, 将课内外融为一体, 克服了传统教学方式的时空局限性, 更好地实现了教学互动, 并在不同层次上培养了学生的创新意识和能力。

3 继续加强实践能力的培养

《数字电路与逻辑设计》课程的教学应当注重实践性, 理论联系实际。通过培养学生的动手能力, 加深对电路知识的理解和实际应用。将具体分为以下三个方面进行尝试。

3.1 从实验内容方法上变革

淘汰陈旧、落后的实验内容, 使学生能更多的接触实际工程电路、了解掌握新的技术方法。适当增加设计性实验, 培养学生分析问题、解决问题的能力。可引入日常生活中最常用的小电路报警器、门铃、抢答器电路等, 启发学生设计。适当增加综合实验, 提升学生的综合素质和创新能力。与其他实验不同的是, 综合类实验不再指定具体实验内容, 而是让学生自己选择实验项目、实验方法, 由老师进行课题审查和技术指导, 激发了学生的学习主动性和创新意识, 提高了教学效果。

3.2 开放实验室, 鼓励学生利用课余时间进实验室

数字电路实验室应面向全院学生免费开放, 以培养学生创新意识、创新精神和开拓能力。开放实验室以创新型、研究型、综合型实验项目为主, 吸引学生利用课余时间到实验室参加实验、制作、发明和从事创造活动。

3.3 独立设置实验

该课程实验较多, 主要建立学生对功能模块电路的感性认识, 掌握通用器件的典型应用, 应独立设课。实验课程由实验室专职老师负责, 主讲教师参与实验的全过程, 使实验和理论教学紧密结合。实验内容分两大类:一类是少量验证性实验, 用于巩固课堂所学知识, 加强对概念的理解, 另一类是设计性实验, 目的是使学生熟悉器件的应用, 掌握单元电路的设计方法。实验手段主要有两种:一种是在开放的实验箱上搭接电路, 用于培养学生的基本实践技能;另一种是采用EDA技术, 在计算机上进行仿真实验。

结束语

《数字电路与逻辑设计》是一门基础性、应用性较强的课程, 因循守旧背老教案用老方法讲老问题的方式是不可行的, 而过分的强调改革, 为了教改而教改的方法更是不可取的。由于《数字电路与逻辑设计》在通信工程类学科中“牵一发动全身”重要地位, 使其教改工作的进行更应该周密谨慎。在教学中, 应多积累多观察多思考, 要遵循教学效果为第一要义的原则, 提高学生的学习兴趣, 为今后的专业课打好基础, 适应新技术新产品的变革。总之, 教学是一门艺术, 教学过程是一项复杂的系统工程, 要建立一个更加完善合理的《数字电路与逻辑设计》课程教学体系, 还需要不断地进行探索和实践。

参考文献

[1]王丽萍, 徐开琴.数字逻辑电路设计的两个重要问题[J].白城师范学院学报, 2008 (6) .

[2]王仕勋.任务教学法在《数字逻辑》课程教学中的应用[J].福建电脑, 2007 (11) .

[3]徐重宁.简论高校师资的教育培训[J].中国成人教育, 2006 (10) .

[4]赵凌云, 周拨云.浅谈教师队伍的素质教育[J]太原科技, 2001 (3) .

论数字电路系统的测试与实验分析 第4篇

掌握数字系统的分析和设计方法。

能够熟练地、合理地选用集成电路器件。

提高电路布局、布线及检查和排除故障的能力。

培养书写综合实验报告的能力。

(二)实验要求

1.根据设计任务要求,掌握数字系统的分析和设计方法。首先按单元电路进行设计,然后选择合适的元器件,最后画出总原理图。

2.安装调试电路直至实现任务要求的全部功能。对电路要求布局合理、走线清楚、工作可靠。

3.写出完整的实验报告,包括调试中出现异常现象的分析和讨论。

(三)实验说明

1.数字系统的设计方法。数字电路通常是由组合逻辑和时序逻辑功能部件组成的,这些功能部件可以由各种各样的SSI(小规模)、MSI(中规模)、LSI(大规模)器件组成。数字电路系统的设计方法有试凑法和自上而下法。下面对这两种方法进行简要介绍。

试凑法的基本思想是把系统的总体方案分成若干个相对独立的功能部件,然后用组合逻辑电路、时序逻辑电路的设计方法,分别设计并构成这些功能部件;或者直接选择合适的SSI、MSI、LSI器件实现上述功能,最后把已经确定的部件按要求拼接组合起来,构成完整的数字系统。

近年来,随着中、大规模集成电路的迅猛发展,许多功能部件的读数据选择器、译码器、计数器和移位寄存器已经大量生产和广泛使用,不需按照组合逻辑电路和时序逻辑电路的设计方法来设计,可直接用这些部件来构成完整的数字系统。对于一些规模不大,功能不太复杂的数字系统,选用中、大规模器件,采用试凑法设计,具有设计过程简单,电路调试方便,性能稳定可靠等优点,因此,仍被广泛使用。

自上而下(或自顶向下)的设计方法适合于规模较大的数字系统。由于系统的输入变量、状态变量和输出变量的数目较多,很难用真值表、卡诺图、状态表和状态转换图来完整、清晰地描述系统的逻辑功能,需要借助某些工具对所设计的系统功能进行描述。通常采用的工具有:逻辑流程图、算法状态机流程图、助记文件状态图等。

这种方法的基本思想是,把规模较大的数字系统从逻辑上划分为控制器和受控制器电路(受控电路)两大部分,采用逻辑流程图或ASM图或MDS图来描述控制器的控制过程,并根据控制器及受控制器电路的逻辑功能,选择适当的SSI、MSI功能器件来实现。控制器或受控器可分别看成一个子系统,所以,逻辑划分的工作还可以在控制器或受控器内部多重进行。按照这种设计思想,一个大的数字系统,首先被分割成不同层次的许多子系统,再用具体的硬件实现这些子系统,最后把它们连接起来得到完整的数字系统。自上而下设计方法的步骤如下:

(1)明确设计系统的逻辑功能。

(2)拟定数字系统的总体方案。

(3)逻辑划分,即把系统划分成控制器和受控电路两大部分,规定具体的逻辑要求,不涉及具体的硬件电路,如下图所示。

(4)设计受控电路及控制器。受控电路可以根据其逻辑功能选择SSI、MSI、LSI功能部件来实现,由于控制器是个复杂的时序逻辑系统,很难用传统的状态图来描述其逻辑功能,如果采用ASM图或MDS图来描述控制器的逻辑功能,再通过程序设计反复比较判断各种方案,则可不受条件限制地导出控制器的最佳方案。

现代数字系统的设计,可以用EDA工具,选择PLD器件来实现电路设计,可以将上面的描述直接转换成EDA工具使用的硬件描述语言送入计算机,由EDA完成逻辑描述、逻辑综合及仿真等工作,完成电路设计。

自上而下的设计过程,并非是一个线性过程,在下一级定义和描述中往往会发现上一级定义和描述中的缺陷或错漏。因此,必须对上一级的定义和描述加以修正,使其更真实地反映系统的要求和客观可能性。整个设计过程是一个反复修改和补充的过程,是设计者追求自己的设计目标日臻完善的积极努力的过程。

2.试验电路的故障检查和排除。在实验中,当电路不能完成预期的逻辑功能时,就称电路有故障。典型故障有三类:设计错误导致的故障,布线错误导致的故障,器件与底板故障。其中大量的故障是由于接触不良造成的,其次是布线错误(漏线和错线),因集成器件本身问题导致的故障是较少的。

数字电路实验报告 第5篇

一、实验目的1、掌握用门电路设计组合逻辑电路的方法。

2、掌握组合逻辑电路的调试方法。

二、实验器材

数字电路实验箱一台、74LS00若干

三、实验内容

1、用与非门实现散人多数表决器电路

(1)真值表

(2)表达式化简及变形

(3)逻辑图

2、用与非门实现YAB

(1)真值表

(2)表达式化简及变形

(3)逻辑图

译码器应用电路的设计与测试

一、实验目的1、熟悉集成译码器的性能和使用方法

2、学会使用二进制译码器实现组合逻辑电路的方法

二、实验器材

数字电路实验箱一台、74LS138一片、74LS20一片

三、实验内容

1、用74LS138及74LS20实现三人多数表决器电路

(1)真值表

(2)表达式转换

(3)逻辑图

2、用74LS138及74LS20实现YAB

(1)表达式转换

(2)逻辑图

数据选择器的设计与调试

一、实验目的1、熟悉数据选择器的性能及使用方法

2、学会使用数据选择器进行逻辑设计的方法

二、实验器材

数字电路实验箱一台、74LS151一片

三、实验内容

1、用74LS151实现三人多数表决器

(1)真值表

(2)比较卡诺图求出Ai及Di

(3)逻辑图

2、用74LS151实现YABBCAC

(1)比较卡诺图求出Ai及Di

(2)逻辑图

N进制计数器的设计与测试

一、实验目的1、掌握集成技术器的测试方法

2、学会利用集成技术器构成N进制计数器

二、实验器材

数字电路实验箱一台、74LS161一片、74LS20一片

三、实验内容

1、用74LS161设计七进制计数器。

方法一:清零(0-6)

(1)逻辑图

(2)状态转换图

方法二:置数(1-7)

(1)逻辑图

(2)状态转换图

方法三:置数(9-15)(CO做反馈)

(1)逻辑图

脉冲与数字电路实验总结 第6篇

脉冲与数字电路接收和处理的都是脉冲式离散信号。相较于模拟信号,它更易于复制、修饰;所有的数字信号都是有不同的电平保持长度的高低电平携带。且高低电平的区分有很大的容差,这使它具有更强的抗干扰性和精确性。

在一个学期的学习过程中,我们共做了六个相关实验,包括:门电路逻辑功能及测试,组合逻辑电路,触发器R-S、D、J-K,三态输出触发器及锁存器,时序电路测试及研究,译码器和数字选择器。设计数字集成器件:74LS00,74LS10,74LS20,74LS86,74LS04,74LS54等等。

学习并深入了解门集成块门、非门及其扩展门电路,分组合应用以达到不同的逻辑设计效果。

在数电理论的指导下,使用合成实验面包板进行试验。配有高低电平输入开关盒发光二级管指示操作和测试逻辑电路的性能。整体来说,数电试验中,虽然接线较为复杂,但其效果着实非常客观和准确。有利于工科学生形成严谨细致的学术作风。

最后,感谢我的实验老师,在整个学习和实验过程中对我尽心的指导和帮助。感谢我的同组学生,在实验进程中对操作及理论的见解交流。使我顺利并成功的完成了各项试验,获得对知识的进一步理解。

数字电路与逻辑设计实验报告 第7篇

校验码设计

课程设计报告

数字电路设计

设计项目:校验码设计

姓名:Firesuiry 学号:XXXXXXXXXXXXX 指导老师:谢小东

数字电路与逻辑设计实验报告 第8篇

实验教学,是学生在教师指导下,运用一定的仪器设备进行独立操作、通过观察和分析事物的变化直接获取知识,培养实验与操作技能。可以说,教学仪器、设备是加强实验(训)教学的基础和保证。然而,作为“数字电路”实验教学使用的主要设备——由众多厂家生产,五花八门的数字电路实验箱、实验台(柜),大多不同程度地存在着可靠性差、功能不全、维修不便等缺陷。导致实验效果不佳,甚至出现一些无法克服的难题,严重制约了学生实际动手能力的提高和对理论知识的理解和掌握,限制了学生创新思维意识的形成。为此,根据多年的实践教学经验及实际需要,设计研制了《数字逻辑电路实验仪》,供我院数电实验教学使用,解决了数电实验教学中出现的难题,效果良好。

1 商家生产的数字电路实验设备存在的主要问题

1.1 单次脉冲不可靠

脉冲数字电路实验中,经常要用到正(或负)跳变的单次阶跃脉冲。但是,由于机械开关的通断时间往往达几ms至几十ms,在此期间会出现多次抖动,相当于连续出现了几个脉冲信号或数目不定的脉冲串,显然,用这样的开关产生的信号会导致电路产生误动作。对触发器、寄存器、计数器等都要使用单次脉冲进行触发的时序电路而言,就会产生错误的结果。为了消除这种开关的抖动、厂家生产的数字电路实验箱、台、柜,通常在机械开关与被驱动的电路间加有防抖动电路,但在实验教学过程中发现,先后购置的商品实验仪都没有很好地解决这个看似简单的问题,很不可靠,实验数据理论与实际不一样,导致实验无法进行。

1.2 元器件被屏蔽,是“试验”非“实验”

商家生产的这些实验设备多采用“积木”、“模块”、“单元电路”的方式方法。电子元器件、电路板被屏蔽在设备或模块的内部,外部仅漏出元件或电路的引脚连线插孔及图符。元器件是什么形状则看不到,集成电路管脚的识别、三极管等元器件极性及好坏的测量、判别难以进行,学生无法建立感性认识,理论不能联系实际,动手能力的提高无从谈起,主观能动性很难得到发挥。虽不实用,亦有优点:整齐美观,便于展示,实验准备工作也相应简单一些。

1.3 带插头的实验连接导线坏了难修复

市售的这些商品实验箱、实验台(柜)都采用的是带插头可互迭的一次性连接导线。这种导线和与之配套的圆锥形插孔之间的接触比较可靠,但可能是商业利益使然吧,导线与插头处是密封在一起的,坏了无法修复,因而是一次性的。数字电路属技术基础课,开课班级多,实验重复使用率高,由于反复插拔,连线接头处很容易从内部断开。在实验过程中由此引起的隐性故障较难查找,影响实验效果,而且每根连线价格不菲,不能维修及重复使用,只能由生产厂家提供,造成很大浪费。

1.4

产品有些部分华而不实,实验中根本用不上,完全可以删繁就简。

2 自制数字电路实验设备实现的功能特点

为了克服由于实验设备缺陷造成的实验教学难题,提高实验效果,增强学生发现问题,分析问题,解决问题的能力,本着使用方便可靠、线路简单实用、结构合理紧凑、易于自制、便于维修的指导思想,自行设计研制了功能较为齐全、富有特色、经济实用的《数字逻辑电路实验仪》。本仪器可供各类职业院校、业余电子爱好者使用双列直插式大、中、小规模集成电路,进行数字电路的实验和逻辑设计。若配以示波器、万用表等仪器仪表,利用面包板搭接电路,亦可完成模拟电子线路的实验。该实验仪共由七个部分组成,下图是该实验仪的面板图。

2.1 单次脉冲发生器

经过反复多次的实验,该部分最后选用了电路结构最为简单的R-S单稳态触发器作为单次脉冲发生器。由于去耦电路完善,线路结构合理,加之采用的是超高速的TTL门电路,所以电路工作非常稳定、可靠,且单次脉冲的上升沿、下降沿都很陡。所制作的十五台“数字电路实验仪”,没有一台存在因为按钮型自复式开关的通、断而产生的“抖动”现象,从而有效地解决了“时序电路”实验过程中因开关“抖动”而产生的误动难题。

2.2 多孔实验插座扳

多孔实验插座板俗称“面包板”,每块插座板中央有一凹槽,凹槽两边各有相互对应的65列小插孔,每一列的五个小孔其内部是由一只弹性接触簧片构成的,故在电气上是相互连通的。列于列的间距均是双列直插式DIP封装IC的标准间距。集成电路的引脚就分插在凹槽两边的小孔上。另外,插座扳上、下边沿各有一排11组55个插孔,其中前后4组各20个插孔、中间的3组15个插孔在电气上是分别连通的,可作为电源与地线插孔。本实验仪使用了四块SYB-130型实验插座板,一次可容纳多块集成电路进行实验。小型开关、晶体管、小电阻电容等分立元件也很容易插入。

选择采用传统的“面包板”,是因为学生可在其上随心所欲地搭接电路。这对他们认识、检验、使用、选择元器件、建立感性认识,激发学习兴趣、提高动手能力、发挥主观能动性等诸方面都能起到很好地作用。同时,用来搭接电路的单股导线到处有售,彻底解决了带插头的专用实验连线坏了无法修复,需外购,要受制于人且远水解不了近渴还不经济的难题。但因为元器件的发放、回收、检验比较费时间,因而相应增加了实验员的工作量。

2.3 脉冲信号源

信号源部分电路设计巧妙、新颖、独特、成功:分为1~100HZ、100~1KHZ、1K~30KHZ三个频段,所需频率由波段开关与频率细调旋钮进行选择。脉冲输出幅度0~9V连续可调,脉冲的占空比调节范围可达(0~100%),而且在调节脉冲宽度时对输出频率无任何影响。脉冲的上升及下降时间都小于1微秒。另外,还单独设置有TTL电平脉冲输出插孔。一些技术指标显然高于厂家的产品。

2.4 稳压电源部分

实验仪设有+5V、+12V、-12V三组直流电源,均采用了集成组件三端稳压器进行稳压。+5V电源除了给实验电路供电外,还要作为本实验仪内各个部分的工作电源,因此选用了金属封装,较易散热的LM7805K。7812、7912则采用了廉价的塑封型三端稳压器。这三种集成稳压器内部均设有可靠的短路、超温、限流等完善的保护措施,由于选用了尽可能大的散热器,故各路输出的直流电流均大于1.0A。

2.5 逻辑电平开关部分

选用优质的单刀双掷开关,向实验电路提供“0”、“1”电平信号。若八位开关不够用,可由DIP封装的双列直插式微型多路开关在多孔实验插座扳上进行扩充。

2.6 逻辑电平显示部分

共八位。均加有驱动电路。可选用廉价的低功耗LSTTL、COMS等芯片完成实验。

2.7 计数译码显示部分

可进行百位数以内的计数、译码、显示实验。若要增加位数,亦可在实验插件板上进行扩充。

旋钮接插件开关(含实验插件板)、数码管及LED灯等均直接安装、固定在一块380*300*2.5mm的印刷电路板正反面上。其正面还有铜箔经腐蚀后形成的仪器型号、各部分(用线条框起来)名称、字符等。背面的印刷电路上面焊着元器件。电源变压器、三端稳压器则安装在一个金属框架上,与电路板背面用两只把手(检修时将整个仪器移出机箱用)固定在一起,还能起到支撑的作用。整个机箱为自制木结构,尺寸为470*330*145mm。

3 结束语

该实验仪制作于1994年。经过多年的使用,部分实验插件板出现了接触不良的现象(待换),还有外观不是那么美观外,功能、性能指标仍能满足教学需求,在我院数字电路实验、实训教学中仍然发挥着良好的作用。

摘要:针对商品《数字电路》实验设备存在的单次脉冲不可靠、元件被屏蔽起来、实验连接导线坏了难以修复等问题,研究制作了功能齐全,性能优越的《数字逻辑电路实验仪》,克服了长期以来难以解决的实验教学难题。

关键词:实验,单次脉冲,制作,解决问题

参考文献

[1]孙津平.数字电子技术[M].西安:西安电子科技大学出版社,2005.

[2]贾林科.自制实验设备解决教学难题[J].西部大开发,2011.2.

[3]周雪.模拟电子技术[M].西安:西安电子科技大学出版社,2005.

数字电路与逻辑设计实验报告 第9篇

摘要:针对数字逻辑电路中基本概念多且抽象及工程实践性强的特点,如何设计教学内容与环节,使学生在有限学时中掌握其实际应用知识,以提高学生的综合实践与工程应用能力,是数字电路实验教学需要解决的重要問题。知识可视化与项目驱动法等先进教学工具与方法已应用于数字电路实验教学,并取得良好的实验教学效果。

关键词:知识可视化;项目驱动法;虚拟仿真;数字电路

【中图分类号】G642.0

基金资助:

[1]南昌工程学院校级教改课题(课题编号:2014JG002)

[2] 2014年江西省大学生创新创业计划专项基金项目

0 引言

数字电路是电子信息类、通信类、自动化类、计算机类等专业的必修课程[1],是概念性、实践性、工程性都很强的专业基础课。该课程的教学,是以培养学生的专业素质和实践能力为目标,以培养学生的工程应用能力为核心。如何设计教学内容与环节,使学生掌握更多的实际应用知识,以提高学生的综合实践与工程应用能力,各高校都在其教学大纲中设计了重要的实验环节。目前,大部分高校的实验内容主要以验证性实验为主,以综合设计性实验为辅。笔者认为,综合设计性实验选题设计的质量优劣,直接关系到数字电路的实验教学质量的高低,进而影响学生的学习积极性及学习效果。

针对数字电路中基本概念的“多、杂、抽象”及器件类型的“多、繁、广”的特点[1-2],本文提出利用知识可视化技术与项目驱动教学法开展数字电路课程的理论与实验教学,将多且抽象的理论概念用知识可视化技术展示出来,便于概念的理解与掌握,同时以项目驱动的教学方式调动学生主动学习的积极性,激发学生的创新与实践能力,培养学生的创新意识与协作精神。以上先进教学工具与方法在数字电路实验教学中的应用在南昌工程学院通信工程系已取得良好的教学效果与口碑。

1 知识可视化与项目驱动法

Martin和Burkard于2004年提出的知识可视化(Knowledge Visualization)已成为全球教育工作者的研究热点[3-4]。知识可视化是指利用可视化技术将抽象复杂的知识转化为易于被认知接受的视觉图像,能够减少认知负荷,便于知识的理解、传播和创新。简而言之,知识可视化是为了帮助学生通过自主学习的方式获取知识或者理解知识,将专家的知识结构展现给学生的一种途径,也指所有用来建构和传递复杂见解的图解手段。

项目驱动法是指在教学过程中以项目为基线或核心进行教学内容的展开,把相关的知识点融入到项目中的各个环节中,逐层推进项目[5]。通过对项目问题的深化或功能扩充,进一步拓宽知识的广度与深度,直至得到一个完整的项目解决方案,从而达到学习知识、培养能力的目的。因此,项目驱动法的基本特征为“项目为主线,老师为主导,学生为主体”[6]。显然,项目驱动法可将枯燥的知识转变为生动的技术实现,利于学生理解和掌握所学知识,培养学生的解决问题与创新能力。

2 案例分析

在数字电路实验的综合设计性实验环节,笔者采用知识可视化与项目驱动法进行教学。显然,项目选题才是综合设计实验的关键,而知识可视化仅是工具与手段。项目驱动法的项目任务应尽可能包含多种设计方案,而且要求具有典型性,其目的是既利于每个学生在设计过程中具有多种选择,极大扩展学生的发散思维,激发学生的创造力,又能发挥教师的引导作用,突出学生的主体创造作用。而知识可视化技术只是一种知识表征工具,以期实现抽象的数字电路概念知识的图表化、直观化及可视化,进而便于理解与掌握。

下面以笔者实验教学的具体实例——七分频逻辑电路系统设计,简述知识可视化与项目驱动法在数字电路实验教学中的应用。

七分频逻辑电路系统设计的具体要求为:实现输入时钟脉冲的七分频输出,其中输出占空比任意;时序电路形式为异步或同步;触发器类型为D或JK触发器,组合逻辑器件任意,利用实验室平台进行设计及示波器测试系统输出波形。

1)电路系统建模

众所周知,逻辑系统设计的关键工具就是可视化的状态图[1],因此本设计也采用状态转换图进行系统的建模。由数字电路原理可知,仅需三位触发器即可实现输入脉冲的七分频,用最高位触发器的输出作为系统输出[1]。本文任意选择两种状态转换过程以说明知识可视化技术在本设计中的应用,如图1所示。

显然,由图1的两个建模方案中的状态转换图可知,方案1的占空比为 ,方案2的占空比为 ,输出为非对称的矩形波,从而以可视化的形式说明了占空比(Duty Cycle)的基本概念。电路系统建模是否合理,可利用Multisim等虚拟仿真软件进行模型验证。

2)电路系统结构设计

学生收到任务后,充分发挥各自的发散性思维,提出了多种电路设计方案,大致概括为以下三类,并选取典型案例加以说明:

明显地,由图2可得,选择不同的触发器类型可实现相同的功能;由图3可看出,D触发器构成异步电路比同步电路要简单的多,而且可靠,但其设计技巧性较强,主要因异步电路设计自由度较大[2];而由图4可得,不同的电路状态选取,得到的电路结构复杂度与设计成本也完全不同。结合以上的知识可视化技术,综合不同实例可看出,数字电路中的异步与同步、最简与非最简、JK触发器与D触发器等抽象概念都在学生的综合设计性实验的创造性设计过程中熟悉并掌握。

3 结束语

知识可视化与项目驱动法等先进教学工具与方法可在数字电路实验教学过程中取得良好的教学效果。知识可视化将抽象复杂的概念通过易于接收的视觉图表表示出来,便于学生的对知识点的理解与掌握。而项目驱动法将学生的学习模式由被动学习转为主动学习,且改变原来单调的授课方式,极大的释放了学生的学习潜能,激发了学生的创新意识。当然,知识可视化表现方式与项目设计质量的优劣直接影响其在数字电路教学中的应用效果,因此知识可视化表现形式与项目设计需要重点思考与把握。如何更好的发挥知识可视化与项目驱动法的潜在优势,需要不断地完善数字电路相关知识的可视化形式,不断地提高项目设计深度与广度。

参考文献:

[1]阎石.数字电子技术基础(第五版)[M],北京:高等教育出版社,2006.

[2]刘金锦 等.“数字电子技术基础”课程改革探索与实践[J].工业与信息化教育,2015(3):24-26.

[3]张霞.知识可视化研究综述[J].软件导刊(教育技术),2013(2):8-11.

[4]Eppler,M.J.,Burkard,R.A. Knowledge visualization: towards a new discipline and its fields of application. http://www.bul.unisi.ch/cerca/bul/publication/com/pdf/wpca0402.pdf.

[5]盖颖.项目驱动教学法在现代汉语教学中的应用[J].教育探索,2009(8):53-54.

[6]冯强,白珍.项目教学法在工业设计专业教学中的实践[J].大学教育,2015(7):144-145.

作者简介

数字电路与逻辑设计实验报告 第10篇

doc文档可能在WAP端浏览体验不佳。建议您优先选择TXT,或下载源文件到本机查看。

一.概述

汽车尾灯控制电路是很常用的工作电路,在日常的生活中有着很广泛的应用.汽 车行驶时,会出现正常行驶,左转弯,右转弯,刹车四种情况,针对这四种情况可以 设计出汽车尾灯的控制电路来表示这四种状态.设计一个汽车尾灯控制电路,技术指标如下: 假设汽车尾部左右两侧各有 3 个指示灯(用发光二极管模拟);汽车正常运行时指示灯全灭;汽车左转弯时,左侧 3 个指示灯按左循环顺序点亮;汽车右转弯时,右侧 3 个指示灯按右循环顺序点亮;临时刹车时所有指示灯同时闪烁.二.方案论证

方案一: 汽车尾灯控制电路主要由 D 触发器逻辑电路,左转,右转控制电路,刹车控制电 路构成.首先将脉冲信号 CLK 提供给 D 触发器逻辑电路.用三片 D 触发器设计一个逻辑电路可以产生 001,010,100 的循环信号.将此信号作为左转,右转的原始信号.设置左转控制开关和右转控制开关.通过开关的控制将左转,右转的原始信号通过逻辑电路分别输出到左,右的 3 个 汽车尾灯上.这部分电路起到信号分拣的作用.设置刹车控制开关,将脉冲信号 CLK 提供给刹车控制电路.当开关置为刹车信号时,分拣之后的信号通过逻辑电路实现刹车时所有指示灯随 着时钟信号 CLK 全部闪烁的功能.最终得到的信号即可输出到发光二极管上,实现所需功能.方案一原理框图如图 1 所示.1 CLK D 图 1 方案一原理框图

方案二: 汽车尾灯控制电路主要由开关控制电路,三进制计数器,译码电路,显示,驱动 电路构成.由于汽车左或右转弯时,三个指示灯循环点亮,所以用三进制计数器控制译码器 电路顺序输出低电平,从而控制尾灯按要求点亮.首先,设置两个可控制的开关,可产生 0 0,0 1,1 0,1 1 四种状态.开关置为 0 0 状态时,表示汽车处于正常运行状态.开关置为 0 1 状态时,表示汽车处于右转弯的状态.开关置为 1 0 状态时,表示汽车处于左转弯的状态.开关置为 1 1 状态时,表示汽车处于刹车的状态.其次,设计电路实现所需达到功能.三进制计数器可用两片 D 触发器构成.译码电路可用 3 线—8 线译码器 74LS138 和 6 个与非门构成.显示,驱动电路由 6 个发光二极管和 6 个反向器构成.2 方案二原理框图如图 2 所示.显示,驱动电路

开关控制电路

译码电路

三进制计数器

图 2 方案二的原理框图

最终方案为方案二.电路设计 三.电路设计

1.时钟脉冲电路 由 555 定时器构成的多谐振荡器电路如图 3 所示.12V Vs 1 28.86k R1 4 8 VCC RST DIS THR TRI CON GND 1 OUT 3 6 57.72k R2 7 8 CP 7 6 2 5 10nF C 10nF Cf 0 LM555CM Timer 图 3 由 555 构成的多谐振荡器

接通电源后,电容 C 被充电,Vc 上升,当 Vc 上升到 2/3Vcc 时,触发器被复位, 此时 Vo 为低电平,电容 C 通过 R2 和 T 放电,使 Vc 下降.当 Vc 下降到 1/3Vcc 时, 触发器又被复位,Vo 翻转为高电平.周期 T 为: T=(R1+2R2)Cln2≈0.7(R1+2R2)C 这样,通过控制电容充放电时间,使多谐振荡器产生时钟信号.2.开关控制电路 开关控制电路如图 4 所示.3 VCC 5V VCC J1 Key = A 10 R1 200? 0 U7B U15B 12 C G VCC 5V U3A VCC 74LS136D J2 Key = B 11 R2 200? 0 13 74LS00D 74LS04D U9B D U16A 14 74LS00D CP 74LS10D 图 4 开关控制电路

电路通过控制开关 A,B 的断开和闭合,实现正常行驶,左转弯,右转弯,刹车四 种状态.AB 置为 0 0 状态时,表示汽车处于正常运行状态.AB 置为 0 1 状态时,表示汽车处于右转弯的状态.AB 置为 1 0 状态时,表示汽车处于左转弯的状态.AB 置为 1 1 状态时,表示汽车处于刹车的状态.3.三进制计数器 原理图如图 5 所示.4 VCC 5V 2 ~1PR 4 1 1J 1CLK ~1Q ~1CLR 3 14 1Q 15 U2A A VCC 16 1K 74LS76D 1 3 2 2 U2B 1Q 15 ~1PR 4 1 1J 1CLK ~1Q ~1CLR 3 14 B 16 1K 74LS76D 图 5 三进制计数器原理框图

4.译码,显示驱动电路 译码,显示驱动电路如图 6 所示.VCC U4A 74LS00D U5A 9 U10A LED1 23 R3 17 200 5V A B1 2 C 3 6 G4 5 U1 A B C G1 ~G2A ~G2B Y0 Y1 Y2 Y3 Y4 Y5 Y6 Y7 15 14 13 12 11 10 9 7 74LS04D U11A LED2 3 10 24 R4 18 16 200 74LS00D 74LS04D 4 U6A U12A 5 LED3 11 25 R5 19 6 7 8 200 74LS04D U13A LED4 R6 12 20 26 200 74LS00D 74LS04D U8A U14A LED5 R7 13 21 27 200 74LS00D 74LS04D U9A U15A LED6 R8 14 22 28 200 74LS00D 74LS04D 74LS00D U7A 0 74LS138D VCC 图6 译码,显示驱动电路 5 四,性能的测试

利用 Multisim10 进行测试和仿真.1.当汽车正常行驶时,AB 置为 0 0 状态,指示灯全灭.仿真结果如图 7 所示.图7 正常行驶仿真结果

2.当汽车左转弯时,AB 置为 1 0 状态,左侧 3 个指示灯按 LED1->LED2->LED3 顺 序循环点亮.仿真结果如图 8 所示.6 图8 左转弯仿真结果

3.当汽车右转弯时,开关置为 0 1 状态,右侧 3 个指示灯按 LED4->LED5->LED6 顺 序循环点亮.仿真结果如图 9 所示.7 图9 右转弯仿真结果

4.当汽车刹车时,AB 置为 1 1 状态,所有指示灯全部随着时钟信号闪烁.仿真结果如图 10 所示.8 图 10 刹车仿真结果

五.结论

电路的主要特点是选用简单常见的元器件,充分利用所学知识.通过仿真结果可以看出,符合任务书中所要求的性能指标,完成所需功能.六.性价比

本电路采用的都是简单且常见的元器件, 价格相对便宜, 性能基本符合技术要求.适用于对技术要求不是十分严格的电路.因此,本电路的性价比较高.七,课设体会及合理化建议 课设体会及合理化建议

这次总的说来收获很大,但在独立设计过程中着实也遇到了不少困难.比如开始 时不知用什么逻辑器件使输出为 001,010,100 的循环,以使指示灯按一定的顺序依 次点亮, 后经过与同学的讨论最终使问题得到了解决, 我想这也是最吸引我们的地方, 当真正投入时才发现乐在其中.一开始对软件不熟悉,刚进行上机设计时很不顺手,遇到不少麻烦,经过自己的 学习和老师的指导,才完成了电路的设计并成功进行了仿真.9 参考文献

[1] 刘修文主编.实用电子电路设计制作.[M]北京:中国电力出版社,2005 年 [2] 朱定华主编.电子电路测试与实验.[M]北京:清华大学出版社,2004 年 [3] 路勇主编.电子电路实验及仿真.[M]北京:北京交通大学出版社,2004 年 [4] 阎石主编.数字电子技术.[M]北京:高等教育出版社,2006 年 [5] 谢自美主编.电子线路设计实验测试.[M]武汉:华中科技大学出版社,2006 年 [6] 华满清主编.电子技术实验与课程设计.[M]北京:机械工业出版社,2005 年

附录Ⅰ 附录Ⅰ 总电路图 11 附录Ⅱ 附录Ⅱ 元器件清单

序号 编号 名称 型号 数量

R1, R2, R3, R4, R5, R6, 电阻 R7,R8, R9 R10 LED1,LED2, LED3,LED4, LED5,LED6, U2A,U2B, U10A,U11A, U12A,U13A, U14A,U15A, U15B, 电阻 电阻 200 8 2 3 28.86k 57.72k 1 1 4 发光二极管 LED 6 5 JK 触发器 74LS76 2 6 非门 74LS04 7 7 U4A,U5A,U6A, U7A,U7B,U8A, 与非门 U9A,U9B 74LS00 8 9 10 11 12 U16A, 与非门 直流电源 直流电源 74LS10 5V 12V DIPSW1 1 4 1 2 J1,J2, 开关

数字电路与逻辑设计教学大纲 第11篇

适用专业:通信工程、信息工程、自动化、测控技术与仪器、电气工程及其自动化 课程类别:专业基础课 先修课程:电路原理 总 学 时:66 学

分:3 考核方式:考试

一、课程的性质与任务

本课程是信息工程、通信工程、自动化、测控技术与仪器和电气工程及其自动化专业学生必修的技术基础课程,是一门实践性很强的课程。通过本课程的学习,使学生掌握数字逻辑和数字系统的基础知识、基本分析方法和设计方法,培养使用标准逻辑器件的能力,初步了解可编程器件的知识,为深入学习后续课程和从事数字技术实际工作打下良好基础。

二、课程内容、基本要求与学时分配

1、绪论(2学时)

了解数字信号与模拟信号的定义与区别; 掌握各种数制间的转换; 了解常用的各种码制; 了解数字电路的分类;

2、逻辑函数及其化简(6学时)掌握布尔代数的运算规则;

掌握逻辑变量与逻辑函数的表示方法; 掌握逻辑函数的公式法化简法;

掌握卡诺图的绘制方法和用图解法化简逻辑函数;

3、集成逻辑门(6学时)

了解晶体管的开关特性;

了解TTL集成逻辑门的外部特性; 了解CMOS集成逻辑门的外部特性;

4、组合逻辑电路(8学时)掌握组合逻辑电路的分析方法;

掌握用逻辑门电路设计组合逻辑电路的方法; 掌握用中规模集成电路设计组合逻辑电路的方法; 了解组合逻辑电路的冒险现象;

5、触发器(8学时)

掌握各类触发器的特征方程和功能描述方法; 掌握基本触发器和钟控触发器的工作原理; 了解主从触发器和边沿触发器的工作原理;

6、时序逻辑电路(8学时)

掌握同步、异步时序逻辑电路的分析方法; 了解常用集成时序逻辑器件的使用方法;

掌握用小规模IC器件和中规模IC器件设计同步时序逻辑电路的方法; 了解异步时序逻辑电路的设计方法。

7、半导体存储器(2学时)

了解随机存取存储器和只读存储器的工作原理; 掌握随机存储器的扩展方法;

了解用只读存储器设计组合逻辑函数的方法;

8、可编程逻辑器件及其应用(2学时)

初步了解可编程逻辑阵列、通用阵列逻辑(GAL)、复杂可编程逻辑器件(CPLD)、现场可编程门阵列(FPGA)的结构特点和工作原理

9、脉冲单元电路(2学时)了解自激多谐振荡器的工作原理; 了解单稳触发器的功能;

了解555时基电路的结构特点和应用;

10、模数转换器和数模转换器(4学时)了解数模转换器和模数转换器的基本原理; 了解常用数模转换器和模数转换器的特性

本课程的理论教学时数为48学时,2.5学分。

三、课程的其他教学环节

本课程安排有实验教学环节18学时,0.5学分。

四、参考教材

1、《数字电子技术》庞学民主编 清华大学出版社 2005年

2、《数字电路逻辑设计》王毓银主编 高等教育出版社 1999年

3、《电子技术基础》数字部分(第四版)康华光主编 高等教育出版社 2000年

4、《数字逻辑与数字系统》白中英、岳怡、郑岩编著 科学出版社 1998年

五、说明

本课程在教学方法上采用讲授理论与实验动手相结合的形式进行,以便学生更好的理解所学的理论知识。在理论教学过程中,要注重方法的讲解,以提高学生分析问题、解决问题的能力。

大纲执笔人:刘炜

上一篇:bec初级写作小作文范文下一篇:整式的加减教学设计