实验报告及心得体会

2024-06-11

实验报告及心得体会(精选6篇)

实验报告及心得体会 第1篇

实验报告及心得体会

实验名称:七人表决器

实验目的:通过Max+plus2软件实现七人表决功能,熟练掌握用Max+plus2实现七人表决器的操作步骤

实验步骤:

1.打开Max+plus2软件

2.打开file-open,在 open对话框的Text Editor files选择后缀为*.vhd文件格式

3.选择files为VOTE7.vhd的文件,单击ok,出现源程序文本

4.单击file-project-set project to…,单击Assign-Device选择驱动,在弹出的Device窗口下选择Device为EPF1OK1OLC84-4,单击ok

5.单击Assign-pin/location/chip…进行引脚锁定。例如,输入从men0到men6,对应的input pin为28-30、35-38,在Node name中输入pass,对应输出pin为Vote7,output pin为23,在Node name中输入stop chip name为vote7,output pin为65,单击ok

6.单击菜单下的compiler选项,在出现的窗口下单击start完成综合,单击确定,关闭窗口

7.单击菜单下的programmer选项,若未出现Hardware setup窗口,则打开options菜单下的Hardware setup选项,在弹出的窗口下,选择Hardware type为Byteblaster(MV)选项,单击ok,然后再单击configure,完成进程

8.使用仪器

通过仪器观察,在K1-K8的八个输出发光二极管中(程序中选择七个)开始时都不亮。在L1-L11,这十一个发光二极管中有L6开始时亮,L11不亮,依次按下K1-K8中的四个发光二极管的按钮使其发光,则L6熄灭,L11发光,说明实现了七人表决器

实验结果:输出发光二极管K1-K8中有4个灯亮时,L6熄灭,L11发光,说明7人中只要有四人同意时,表决成功

心得体会

通过老师的实验演示,我基本明白了Max+plus2软件的使用方法。在试验过程中可能会出现一些问题,但通过老师的实验演示和清楚的强调,我明白了在使用软件设计七人表决器的过程中会遇到的问题和需要注意的地方。例如,在使用仪器箱的过程中,要注意线口的接线,切勿插反以致损坏接口,另外在使用之前先检查仪器箱的完整性。

在建立项目文件文件时,要选择正确且方便使用的文件路径,注意文件的保存,在建立完项目文件后,开始利用相关程序进行实验。在实验过程中,首先要了解各个菜单的功能,因为全是英文菜单,老师给我们介绍了相关的菜单使用,其中有一项就是在综合和进程之前,要使用projict菜单下的set project to current file选项,另外就是在选择Hardware type时要选择Byteblaster(MV),还有就是在进程的过程中记得前面的要点,主要注意的就是这两个方面。

实验的思路很简单,因为是第一次利用Max+plus2软件实现七人表决器的设计,所以熟练度还需经常练习,但基本已经清楚了其运用过程。在老师的引导下使我熟悉了这个软件并实现了七人表决器,当然在实验的过程中我也遇到了一些问题,通过请教老师我已得到了解决,相信在下次运用此软件我会避免出现类似的问题,这次实验又让我学会了一门知识,并通过与本门课程的结合,我渐渐的明白了要想学好专业课,熟练掌握相关软件的运用也是很重要的。在条件允许的情况下,我会在以后的学习过程中结合课程过多的做相关实验的设计。

最后,感谢老师的辅导及积极的教学方法,相信在老师的正确带领下,我们定会实现这门课的进一步提高,无论是在理论上还是在运用上都会有很大的收获,感谢老师!

EDA实训心得

这次实训我们这一组做的是“数字时钟”,原以为这个很简单,我们星期一上午就把仿真波形做出来了,当时我们都非常高兴,认为成功在即,可一连两天都没啥进展,因为我们在引脚锁定的时候不知怎样与实验箱连接,查了很多资料可还是一无所获,这两天过的很难受,弄得我们都想放弃了。

但是万事开头难,当我们拿到老师复印的课程设计资料,分析了设计原理后,心急的我们,没管程序对不对,就全部进行了软件仿真。刚开始的十进制、六进制、十四进制的计数器和译码器的仿真完成的很迅速,仿真波形也做的很成功。接下来就是顶层文件的设计,也基本上没有什么大问题。可是当试验箱拿来的时候我们却发现之前的程序有很大的问题,没有考虑时钟显示的问题。是在老师的指导下,我们将整个设计重新进行了一遍,三种计数器没有问题,把译码器程序删掉,添加一个LED显示程序,在此程序设计中遇到了很多困难,但我们都努力的克服了。当然顶层文件也需要改变,根据删除和新添的程序,相应的顶层文件部分也费了很大的劲修改。

经过几天,在我们小组成员的共同努力下,我们圆满的完成的这次实训的课题任务,一周的辛苦努力没有白费,从中我们学习到了许多东西,也给我们了许多在课堂上学不到的宝贵经验。

姓名:王亚恒

EDA实训心得

短短的一个星期的实训结束了,我们在实训时完成了在前期看似不可能的任务。为什么这样说那?因为它的程序输入部分如果是一个人的话就要好几天的时候,在实训刚开始的时候我们更是换人不换机的情况下在短短的一天之内分工完成了这一“恐怖”的任务。我们原来是按老师所给的程序做的,不过到最后出现了错误,程序调试不出来,我们在老师的指导下重新改写了程序,最后成功地调试了出来。后来因为刚刚接触试验箱,不懂得操作的要领,更是对这一个铁盒子发呆,在几乎老师的手把手的教导下我们成功的征服了这个铁盒子。

实训虽然短短一个星期,但我学习到了很多。遇到困难时我们应该团结互助,勇于面对问题,只要有耐心,再加上实验中的细心操作,一切困难都迎刃而解。

姓名:雷继

EDA实训心得

我们这次实训这一组做的是“数字时钟”,原以为这个很简单,我们星期一上午就把仿真波形做出来了,当时我们都非常高兴,认为成功在即,可一连两天都没啥进展,因为我们在引脚锁定的时候不知怎样与实验箱连接,查了很多资料可还是一无所获,这两天过的很难受,弄得我们都想放弃了。但是万事开头难。

当我们拿到老师复印的课程设计资料,分析了设计原理后,心急的我们,没管程序对不对,就全部进行了软件仿真。刚开始的十进制、六进制、十四进制的计数器和译码器的仿真完成的很迅速,仿真波形也做的很成功。接下来就是顶层文件的设计,也基本上没有什么大问题。可是当试验箱拿来的时候我们却发现之前的程序有很大的问题,没有考虑时钟显示的问题。是在老师的指导下,我们将整个设计重新进行了一遍,三种计数器没有问题,把译码器程序删掉,添加一个LED显示程序,在此程序设计中遇到了很多困难,但我们都努力的克服了。当然顶层文件也需要改变,根据删除和新添的程序,相应的顶层文件部分也费了很大的劲修改。

这几天,在我们小组成员的共同努力下,我们圆满的完成的这次实训的课题任务,一周的辛苦努力没有白费,从中我们学习到了许多东西,也给我们了许多在课堂上学不到的宝贵经验。

姓名:欧强

EDA实训心得

一个星期的EDA实训结束了,这一星期中,在同学和老师耳濡目染之下,我的感触颇多。在这里我就谈谈我个人在这一个星期内的心得体会。

刚进入机房的两天也是我们最繁忙的两天,因为这次实训的时间紧任务重,我们不得不把任务明确的分工,即使是吃饭的时间也让我们计算在内,我们采用轮流去吃饭的方法,在全组同学换人不换机的热情下我们在前两天把输入程序的保质保量的完成了。我们原来是按老师所给的程序做的,不过到最后出现了错误,程序调试不出来,我们在老师的指导下重新改写了程序,最后成功地调试了出来。后面的几天虽然任务轻点,但却是中间最重要的一环。刚开始大家因为没怎么接触过,对硬件设施更是不得其法,最后几乎是在老师手把手的教导下我们终于初步的了解了硬件设施和它的工作原理。我们小组更是在全体组员的精力合作这下攻破了这一个又一个得难关。

虽然实训只有短短的一个星期,我想这一个星期之内让我们学会的不只是书本上的知识,更是让我们知道全队合作的重要性,让我们知道,没有最强的个人,只有无敌的团队这句话的真正含义。

姓名:王潇雅

EDA实训心得

这次实训我们这一组做的是“数字时钟”,原以为这个很简单,我们星期一上午就把仿真波形做出来了,当时我们都非常高兴,认为成功在即,可一连两天都没啥进展,因为我们在引脚锁定的时候不知怎样与实验箱连接,查了很多资料可还是一无所获,这两天过的很难受,弄得我们都想放弃了。

但是万事开头难,当我们拿到老师复印的课程设计资料,分析了设计原理后,心急的我们,没管程序对不对,就全部进行了软件仿真。刚开始的十进制、六进制、十四进制的计数器和译码器的仿真完成的很迅速,仿真波形也做的很成功。接下来就是顶层文件的设计,也基本上没有什么大问题。

可是当试验箱拿来的时候我们却发现之前的程序有很大的问题,没有考虑时钟显示的问题。是在老师的指导下,我们将整个设计重新进行了一遍,三种计数器没有问题,把译码器程序删掉,添加一个LED显示程序,在此程序设计中遇到了很多困难,但我们都努力的克服了。当然顶层文件也需要改变,根据删除和新添的程序,相应的顶层文件部分也费了很大的劲修改。

经过几天,在我们小组成员的共同努力下,我们圆满的完成的这次实训的课题任务,一周的辛苦努力没有白费,从中我们学习到了许多东西,也给我们了许多在课堂上学不到的宝贵经验。

姓名:李尚恒

EDA实训心得

这次EDA电子技术课程设计,我很用心的去完成,当电子试验箱点亮那一刻,我的心里有说不出的满足感。从这次课程设计中,我学到可很多。

刚开始,我们拿到老师复印的课程设计资料,分析了设计原理后,心急的我,没管程序对不对,就全部进行了软件仿真。刚开始的十进制、六进制、十四进制的计数器和译码器的仿真完成的很迅速,仿真波形也做的很成功。接下来就是顶层文件的设计,也基本上没有什么大问题。可是当试验箱拿来的时候我们却发现之前的程序有很大的问题,没有考虑时钟显示的问题。是在老师的指导下,我们将整个设计重新进行了一遍,三种计数器没有问题,把译码器程序删掉,添加一个LED显示程序,在此程序设计中遇到了很多困难,但我们都努力的克服了。当然顶层文件也需要改变,根据删除和新添的程序,相应的顶层文件部分也费了很大的劲修改。

程序设计完成后,又重新进行了一次软件仿真,仿真完毕,接下来就是引脚锁定和下载了,因为这个程序中涉及的引脚比较多,老师建议将秒钟的输入舍弃,于是我把这几个引脚设定在了几个用不上的灯上,接下来的任务都完成的很好。试验箱也用老师教的方法和电脑连接好了,最后就是验证实验的成功与否了。

功夫不负有心人,试验箱点亮、时钟开始计时那一刻,我们大家都欢呼雀跃,这一周的努力没有白付!

课程设计是一个学习新知识、巩固加深所学课本理论知识的过程,它培养了我们综合运用知识的能力,独立思考和解决问题的能力。它不仅加深了我对电子技术课程的理解,还让我感受到了设计电路的乐趣。在这次设计中,我一点也不怕麻烦,反复设计、绘图与修改,就是希望能把这次课程设计做好。因此对我来说,这次课程设计是非常有意义的。同时也谢谢老师的帮助与教导!

姓名:胡蓉蓉

EDA实训心得

这次实训我们这一组做的是“数字时钟”,原以为这个很简单,我们星期一上午就把仿真波形做出来了,当时我们都非常高兴,认为成功在即,可一连两天都没啥进展,因为我们在引脚锁定的时候不知怎样与实验箱连接,查了很多资料可还是一无所获,这两天过的很难受,弄得我们都想放弃了。但是万事开头难,当我们拿到老师复印的课程设计资料,分析了设计原理后,心急的我们,没管程序对不对,就全部进行了软件仿真。刚开始的十进制、六进制、十四进制的计数器和译码器的仿真完成的很迅速,仿真波形也做的很成功。

接下来就是顶层文件的设计,也基本上没有什么大问题。可是当试验箱拿来的时候我们却发现之前的程序有很大的问题,没有考虑时钟显示的问题。是在老师的指导下,我们将整个设计重新进行了一遍,三种计数器没有问题,把译码器程序删掉,添加一个LED显示程序,在此程序设计中遇到了很多困难,但我们都努力的克服了。当然顶层文件也需要改变,根据删除和新添的程序,相应的顶层文件部分也费了很大的劲修改。

经过几天,在我们小组成员的共同努力下,我们圆满的完成的这次实训的课题任务,一周的辛苦努力没有白费,从中我们学习到了许多东西,也给我们了许多在课堂上学不到的宝贵经验。

姓名:权治飞

实训心得体会

这次实训我们这一组做的是“数字时钟”,原以为这个很简单,我们星期一上午就把仿真波形做出来了,当时我们都非常高兴,认为成功在即,可一连两天都没啥进展,因为我们在引脚锁定的时候不知怎样与实验箱连接,查了很多资料可还是一无所获,这两天过的很难受,弄得我们都想放弃了。

星期三下午我们让老师帮忙看看,老师帮我们检查了一下,说我们的译码器和这个实验箱不连,让我们用那个动态8位数码扫描显示电路代替它,这样我们才找到问题的所在,我们知道该干什么,我们的热情又燃烧起来了,我们看书上的那个例子,找它与我们的不同点,一点一点地改,一点一点仿真,一处一处找错误,到最后只剩一个错误,就改不出来了。错误是:Error(10344):VHDL expression error clt clock_top1.vhdl(8):expression has 8 elements,but must have 7elements.老师帮我们找出来了,原来在顶层文件的引脚设定中,程序编程中出现错误把 sg: out std_logic_vector(7 down to 0)改为sg: out std_logic_vector(6 down to 0),这样我们的仿真波形就出来了。

星期四我们开始弄引脚锁定,对照着老师发的引脚锁定表格,结合这我们的程序,就这样我们的实训终于成功了。在这里我非常感谢我们组的每一个成员让我在这个实训中收获颇丰,让我更加知道团队的作用,当然我更要感谢老师对我们不辞辛苦的教导。这次实训使我解决了以前上课中遇到的很多问题,这是我实训最重要的收获。

姓名:徐世凡

EDA实训心得

这次实训我们这一组做的是“数字时钟”,原以为这个很简单,我们星期一上午就把仿真波形做出来了,当时我们都非常高兴,认为成功在即,可一连两天都没啥进展,因为我们在引脚锁定的时候不知怎样与实验箱连接,查了很多资料可还是一无所获,这两天过的很难受,弄得我们都想放弃了。

但是万事开头难,当我们拿到老师复印的课程设计资料,分析了设计原理后,心急的我们,没管程序对不对,就全部进行了软件仿真。刚开始的十进制、六进制、十四进制的计数器和译码器的仿真完成的很迅速,仿真波形也做的很成功。接下来就是顶层文件的设计,也基本上没有什么大问题。可是当试验箱拿来的时候我们却发现之前的程序有很大的问题,没有考虑时钟显示的问题。是在老师的指导下,我们将整个设计重新进行了一遍,三种计数器没有问题,把译码器程序删掉,添加一个LED显示程序,在此程序设计中遇到了很多困难,但我们都努力的克服了。当然顶层文件也需要改变,根据删除和新添的程序,相应的顶层文件部分也费了很大的劲修改。

经过几天,在我们小组成员的共同努力下,我们圆满的完成的这次实训的课题任务,一周的辛苦努力没有白费,从中我们学习到了许多东西,也给我们了许多在课堂上学不到的宝贵经验。

姓名:胡亮

实训感受

这次实训虽然只是一个星期,但是收获挺大的。为了做好这次实训更好的和同学配合,我在之前做了充分的准备,把课本上的基础知识大体浏览了一遍。而实际证明这个过程是很有必要的。

实训第一天,我们就把电子时钟VHDL源程序编辑输写完保存,并且相应的完成了对输入文件的综合,仿真。在这些过程中出现了一些小意外。第一,在书写程序的时候虽然没有什么语法的错误,但是由于没有严格按照正确的格式去书写,被老师指正出来,因此在最后又重新修改文件格式重新保存。第二,在保存的过程中我们充分注意到老师所强调的顶层文件名和项目名称名必须一致,因此在综合的过程中没有出什么意外。第三,在仿真波形的时候,有点不知所措。因为平常练习的时候我们只是按照课本上给出的样子去设定。但是现在我们必须自己去根据程序的内容自己去设计。我们就试着去做,在end time中我们设定50us,时钟脉冲信号clock周期为1us.刚开始不出波形,我们想到老师说的在view视图中有一个fit in window,我们点击一下,波形出来了。接着我们又通过理解程序把reset,dins(m)(h)设定出来,呵呵,通过仿真,我们正确的波形出来了。有了第一个程序的设计成功,我们就有信心去做以下那几个,因为所有的步骤都是大同小异的。事实也证明如此,挺顺利的。

剩下的就是引脚锁定和下载验证了。但是接下来并不如我们想象中的顺利。由于我们的引脚太多,我们不知道如何和实验箱相连接。开始自己去尝试着去接,但是实验箱一点反应都没有。在没有办法的情况下,询问了老师。老师耐心的看了我们的程序,发现我们程序中的译码器不能和实验箱相连。也就是说我们必须重新修改程序。因为用到了数码管动态显示,因此老师给我们找到了相关的例题6-19.并给我们做了解释说明。大家听的都是似懂非懂的,老师一走,我们就开始认真的分析例题。由于用到动态显示,例题中的WHEN “000” => BT <= “00000001”;A <= 1;中A必须改为一个变动的范围A <= conv_integer(count(19 downto 18));且在次之中用到了第九章的转换函数conv_integer(X),及将std_logic_vector转化为interger。同时,由于我们只需要用到数字0~9,虽然用到10,但是10代表的只是连接符。因此我们编写的程序就比例题稍微简单了些。把译码器替换为8位数码扫描显示电路后,相应的顶层文件中也必须有修改。我们按照老师的指点和自己的分析去设计程序。

最后终于修改完毕。但是综合,总是有错误。刚开始是我们修改程序时有一些符号是在中文状态下书写的,修改完后还是有错,Error : VHDL expression error at clock_top1,VHDL(81): expression has 8 elements but must have 7 elements.虽然只是这么一点小错误,但是我们大家总是找不出来,最后只有麻烦老师。最终在老师的指导下我们把out std_logic_vector(7 downto 0)改为std_logic_vector(6 downto 0)。当我们再次综合时,真的没错了,终于开始进行下一步。

对于引脚的锁定,我们把分和时和按键相连,由于按键不够用,只有把秒和发光二极管想连。我们对照EPF10K10LC84-4的引脚说明,把我们的引脚都和实验箱连接上了。下载验证,在把实验箱和电脑连接上后,我们把我们的顶层文件加载到软件上。哈哈,挺顺利的,实验箱上的数码管按照我们的预期亮了,并且能够通过按键修改分和时。嗯,很成功!

这次实训真的收获挺大的,因为很有目的。虽然遇到很多不会的,但正是这些绊脚石让我们去讨论,看书本,问老师,我们也正因为此才更好的去理解课本知识。当正确的实验结果出来后,心里真的很开心。这份实验成果我一定保存下去。同时在这次实验中和小组成员合作的挺愉快的,有的同学动手能力挺强的,是我应该学习的。也非常感谢老师对我们大家耐心的指导,我一定会好好学习的。

---------------王明慧

实训心得

短短的一周实训课就这样就过去了。通过这短短的一周实训课,我感觉我学到了好多的实际操作知识。同时也感受到了集体团结力量的重要。在集体的奋斗过程中,我为了不拖累集体,为集体贡献我自己的一点力量,我有了努力学习的动力!

在实训的第一天,我就犯了错。因为以前使用的软件的Crack6.0和quartun60是在同一个文件夹—altera文件夹下,很好找、也很容易安装。但是,这次当我们打开电脑时却发现它们不在同一个文件夹—altera文件夹下,我们找到后安装上却不能使用,最后又复制了一下Crack6.0,使得Crack6.0和quartun60是在同一个文件夹下,这样就可以使用了。

上午我们就把电子时钟VHDL源程序编辑输写完保存,并且相应的完成了对输入文件的综合,仿真。在这些过程中出现了一些小错误。第一,在书写程序的时候虽然没有什么语法的错误,但是由于没有严格按照正确的格式去书写,被老师指正出来,因此在最后又重新修改文件格式重新保存。第二,在仿真波形的时候,有点小错误。因为平常练习的时候我们只是按照课本上给出的样子去设定。但是现在我们必须自己去根据程序的内容自己去设计。我们就试着去做,在end time中我们设定50us,时钟脉冲信号clock周期为1us.刚开始无论我们怎样弄就是不出波形,后来,我们想到老师说的在view视图中有一个fit in window,我们点击一下,波形出来了。接着我们又通过理解程序把reset,dins(m)(h)设定出来,通过仿真,我们正确的波形出来了。

该引脚锁定和下载验证了,但是并没有我们想象中的顺利。由于我们的引脚太多,我们不知道如何和实验箱相连接。开始自己去尝试着去接,但是实验箱一点反应都没有。在没有办法的情况下,询问了老师。老师耐心的看了我们的程序,发现我们程序中的译码器不能和实验箱相连。也就是说我们必须重新修改程序。因为用到了数码管动态显示,因此老师给我们找到了相关的例题6-19.并给我们做了解释说明。听完之后,我们就开始认真的分析例题。由于用到动态显示,例题中的WHEN “000” => BT <= “00000001”;A <= 1;中A必须改为一个变动的范围A <= conv_integer(count(19 downto 18));且在次之中用到了第九章的转换函数conv_integer(X),及将std_logic_vector转化为interger。同时,由于我们只需要用到数字0~9,虽然用到10,但是10代表的只是连接符。因此我们编写的程序就比例题稍微简单了些。把译码器替换为8位数码扫描显示电路后,相应的顶层文件中也必须有修改。我们按照老师的指点和自己的分析去设计程序。

最后终于修改完毕。但是综合,总是有错误。刚开始是我们修改程序时有一些符号是在中文状态下书写的,修改完后还是有错,Error : VHDL expression error at clock_top1,VHDL(81): expression has 8 elements but must have 7 elements.虽然只是这么一点小错误,但是我们大家总是找不出来,最后只有麻烦老师。最终在老师的指导下我们把out std_logic_vector(7 downto 0)改为std_logic_vector(6 downto 0)。当我们再次综合时,真的没错了,终于开始进行下一步。

对于引脚的锁定,我们把分和时和按键相连,由于按键不够用,只有把秒和发光二极管想连。我们对照EPF10K10LC84-4的引脚说明,把我们的引脚都和实验箱连接上了。下载验证,在把实验箱和电脑连接上后,我们把我们的顶层文件加载到软件上。实验箱上的数码管按照我们的预期亮了,并且能够通过按键修改分和时。

这次实训我们大家都收获很多的知识。我不仅学会了对EDA这个软件的熟练操作,也学会了如何和同学们更好的配合,更快的完成我们的工作!

---------------司丽蕊

实验报告及心得体会 第2篇

课程名称:

国 际 结 算

实验班级:2011级国际经济与贸易专科 学

号:201111806035 姓

名:姜丽萍 指导教师:毛丽君 填写日期:2013-11-28 实验室名称: 经济管理系综合实验室

2013年

月 日

一、实验项目名称

1.熟悉外贸单证实习的平台的基本用法。2.掌握国际结算中票据的填制与使用。4.熟悉汇付结算方式的灵活使用。5.熟悉托收结算方式的灵活使用。6.熟悉信用证结算方式的具体操作。

7.熟练掌握国际结算中各种商业单据的制作和审核。二 实验目的

本实验以国际贸易模拟实习软件为基础模拟操作国际贸易结算的实际过程,通过网络模拟实验了解各种结算方式下各个当事人的责任,掌握各种结算单据的制作和审核,熟悉各种结算方式的灵活使用。通过分别充当结算业务中的进出口商和进出口银行等当事人,熟悉和掌握多种结算方式,学会使用各种结算工具,学会各种商业单据的制作和审核。

三、实验环境

1.操作系统:Windows XP 2.应用软件:世格外贸单证教学软件、世格SimTrade外贸实习软件。

四、实验内容与要求

1.通过签发票据实验,使学生熟悉汇票、本票和支票等票据的签发,能较熟练运用;通过上机实验,使学生熟悉信用证的使用及审核等相关业务。

2.提供单证实践的机会,深化学生在《国际结算》课程中学到的各种单据的基本概念和信用证与物权单据之间的有机衔接,熟悉信用证内容,掌握制单技术和实践审单原理。

3.帮助学生在实验中获取知识,在实践中增长才干,巩固、深化书本所学的理论业务知识,缩短课堂与社会实务部门的距离,缩短实际工作中的磨合期。

五、实验总结

(根据自己在实验中的收获感受、出现的问题及最终需要注意和提高的方面进行总结。)注:实验报告正文字数不得少于2000字。

附:实验结果(包括填制的票据、各种结算方式下的单据)

(一)实验结果

(二)实验总结

通过一个学期的国际结算学习,使我了解了国际结算的理论知识和实际操作过程。国际结算是对国际间债权债务进行了结和清算的一种经济行为。国际结算就是运用一定的金融工具(汇票、本票、支票等),采取一定的方式(汇付、托收、信用证等),通过一定的媒介机构(银行或其他金融机构等),进行国与国之间的货币收支行为,从而使国际间债权债务得以清偿。

通过这学期的实验上机,对信用证结算业务有了进一步的了解,对信用证填写完整性、准确性的审核,根据信用证的条件,对商业发票、汇票的正确缮制有了进一步的体会,并且掌握了保险单、海运提单、装箱单的业务处理流程;对一般原产地证和普惠制原产地证等业务处理流程也得到进一步了解。通过上机实验,我认识到了结算工作的复杂性,变化性,灵活性,困难性,也体会到了作为一名优秀的结算工作者应该具备的基本业务素质和心理素质。

这次模拟操作不但巩固了我们平时所学,也为我们日后的专业工作提供了非常实际的便利。作为一名大学生,应当具备较强的举一反三的能力,而在这些实习中,恰有不少单证的填写是相互联系、相互依靠的。因此,我们应当举一反三,利用诸多单证格式和填写原理类似这一特点,将各类单证的填制和审核紧密联系到一起。这样做无疑可以大大提高我们的学习效率。也可以使我们的实际操作结果相互对应,利于即使查缺补漏,保证单证记录内容的准确有效。在L/C项下,必须严格注意各类单据记载内容的一致,以单单一致、单证一致来求得结汇工作的顺利进行。

在实验的过程中遇到了一些问题,首先的问题就是语言方面的障碍,由于题目所给都是英文形式,所以在制作票据之前把各个类目和内容阅读清楚和准确是至关重要的,限于自身的外语水平,在这个环节遇到了一点困难;其次还发现,由于对各项单证的基础知识掌握不牢固,各个类目的准确含义没有完全记住,所以这大大降低了实验的效率,遇到不懂的类目还要查阅课本。还有一个问题是细节方面的问题,就是如何把所给题目所给的信息提取出来,按要求准确地把对应的信息填在票据当中。

在进行实验的过程之中我充分体会到了英语的重要性,题目所给信息全是英文的,单证的全部内容以及填制也需要用英文来完成,在阅读相关内容的过程中,无疑我遇到了不小的阻力,这使我明白,我的外语水平还是远远不足以应付日后工作中的诸多困难,因此,我们在加强专业课程和专业技能学习的同时,还应该努力提高自己的英语水平。

国际结算是国际贸易必不可少的一项业务,而各种单证的制作在国际贸易中是常有的事情,所以掌握好单证的制作是非常重要的,虽然第一次上机模拟操作,没能完全学会如何制作,对整个实验过程有点不知所措,但是通过这学期的实验课,结合课本所学的理论知识,至少加深了对各种单证的认识,对其制作的过程和注意的问题也有了一个大概的了解,我想这会对以后更进一步的相关学习和实践带来很大的帮助,因此在学习这门课程中,在学习课本基础理论知识之余,适当地开设实验课,由我们学生自己上机操作模拟制作票据,对我们学习该门课程大有益处。

(三)心得体会

本学期的国际结算实验让我受益匪浅,将三年学习的理论知识运用到了实践中,进一步巩固了所学的知识,完善了知识体系。本学期的模拟实验提高了我的实际操作能力,为今后的工作打下了坚实的基础。以下是我本学期进行实验操作的心得体会:

一、在做国际结算时,英语水平很重要。

国际贸易中,交易双方处在不同的国家,沟通交流的语言基本都用国际通用的英语。本学期的模拟实验中,保险单、海运提单、商业发票、装箱单、汇票、装船通知等单据填写和信用证审核都用到英语,这就对我们的英语水平,尤其是专业英语水平有了很高的要求。现有的专业英语水平还远远达不到要求。因此,在以后的学习中,还需要进一步提高专业英语的水平。

二、在做国际结算时,对各单证的熟悉程度直接影响交易的效率。

上机模拟时,初次接触软件,再加上之前学习的理论知识不够扎实,因此每填一个单证都参照国际结算、国际贸易实务和经贸函电三本教材,因此造成了时间上的浪费;有了老师给的模本后,再加上对个单证的填写日益熟练,操作起来更加顺手。因此单证熟练度是国际结算业务员必备的。

三、在做国际结算时,一定要保持清醒的头脑,要极其认真、细心,要有耐心。

信用证审核着实让人头疼,其他各种单证也需要核对无误,否则会影响其他单证的正确填写。因此必须要求业务员有清醒的头脑,填写及审核时认真细心,同时要有耐心,仔细核对。

四、我们要熟练掌握专业知识,提高综合素质和能力。

实验报告及心得体会 第3篇

为了达到设计性实验的预期目的, 保证实验质量, 必须把握3个环节。

1.1 实验前的预习报告

每次实验前, 学生必须认真阅读实验教材, 复习有关理论知识, 查阅有关元器件及所用仪器的主要性能和使用方法, 深入了解本次实验的目的、原理、任务及要求。弄清各主要参数的测量原理及测量方法, 熟悉测量电路。根据每次实验的已知条件和要完成的技术指标, 认真写出预习报告。预习报告内容包括:实验步骤, 画出初步拟定的原理电路图, 并用经验公式估算出电路图中各个元件的数值, 画出各主要参数的测量电路图, 求出各个参数的理论计算值, 然后将理论计算值和待测参数列成表格, 以便实验时填写。实验证明, 凡是准备工作做得充分的同学, 做起实验来得心应手, 能收到事半功倍的效果。

1.2 实验中的正确测试

实验过程中, 必须严格按照科学的操作方法进行实验, 严格执行实验室的规章制度, 测试参数时要心中有数, 细心观测, 认真做好实验数据记录, 并及时对实验结果进行分析, 当出现故障时, 应冷静分析原因, 要有科学的思维方法, 要坚信自己能够解决问题, 正确排除故障, 要运用所学的知识, 分析解决实验中的现象。实验结束时, 必须将实验数据送给指导教师查阅签字, 然后关闭仪器电源, 整理好仪器, 经教师同意后方可离开实验室。

1.3 实验结束后认真撰写设计性实验报告

撰写实验报告是培养科学实验基本技能的重要环节, 也是对工程技术人员的一项基本训练, 撰写实验报告的过程本身就是一个理论——实践——理论的认识总结过程。一份比较完整的设计性实验报告应包括以下内容:课题名称, 主要技术指标, 已知条件, 实验用仪器, 实验电路图, 实验数据与波形, 实验结果的讨论与误差分析, 思考题的解答以及书中所规定的其他要求等等。

2 设计性实验报告的要求

每份实验报告包括:

标题、实验名称、实验者的班级、姓名、实验日期等等。

1) 已知条件

2) 主要技术指标

3) 实验用仪器 (名称、型号、数量)

4) 电路原理

如果所设计的电路由几个单元电路组成, 则阐述电路原理时, 最好先用总体框图说明, 然后结合框图逐一介绍各单元电路的工作原理。

5) 单元电路的设计与调试步骤

(1) 选择电路形式

(2) 电路设计

——对所选电路中的各元件值进行

定量计算或工程估算。

(3) 电路的调试

6) 整机联调与测试

——当各单元电路测试正确后, 进行整机联调。

(1) 测量主要技术指标

报告中要说明各项技术指标的测量方法, 画出测试原理图, 记录并整理实验数据, 正确选取有效数字的位数。根据实验数据, 进行必要的计算, 列出表格, 在方格纸上绘制出光滑的波形或曲线。

(2) 故障分析及说明

说明在单元电路和整机调试中出现的主要故障及解决方法, 若有波形失真, 要分析波形失真的原因。

(3) 绘制出整机电原理图, 并标明调试后的各种元件参数。

7) 测量结果的误差分析

用理论计算值代替真值, 求得测量结果的相对误差, 并分析误差产生的原因。

8) 电路改进意见及实验中的收获体会

每份实验报告除了上述内容外, 还应做到文理通顺, 图形美观, 页面整洁。

3 设计性实验报告举例

专业___班号__组别____指导教师

姓名___实验日期___第__次实验

实验名称单级阻容耦合放大器设计

3.1已知条件

电源电压Uc c=+1 2 V, 负载电阻RL=2kΩ, 晶体管3DG6或3AX31, 输入正弦电压Ui=10mV (有效值) , 信号源内阻PS=600Ω。

3.2 主要技术指标

电压增益AV>4 0, 输入电阻Ri>2kΩ, 频率响应20Hz~500kHz, 电路工作稳定。

3.3 实验仪器设备

COS5020示波器1台;XD22信号发生器1台;

HT1712F直流稳压器1台;500型万用表1台。

3.4 电路工作原理

图1所示电路为一典型的工作点稳定阻容耦合放大器。RB1、RB2、RE组成电流负反馈偏置电路, RC为晶体管直流负载, RC与RL构成交流负载。CB、CC用来隔直和交流耦合。

3.5 电路的设计与调试

(1) 确定电路选择管型

3DG6β=100, 要求电路工作稳定, 采用分压式电流负反馈偏置电路。

(2) 电路设计 (定量计算)

根据3DG6的输出特性曲线, 选静态工作点Q。

RB2=UBQ/I1= (UEQ+0.7V) /I1=15.5kΩ取标称值15kΩ

RB1= (UCC-UBQ) /I1=45kΩ用20kΩ电阻与47kΩ电位器串联

取AV=50, 负反馈电阻RF=10kΩ

取CB=CC=22μF

取30022μF

(3) 电路的装调

按照设计参数安装电路, 接通电源, 经过调整满足要求后, 用万用表测量静态工作点。

3.6 主要技术指标的测量

(1) 测量电压增益AV

在放大器输入端加上, 正弦波, 在输出波形不失真时, 测量和波形如附图2所示, 由图可知

(2) 测量输入电阻Ri

接线图如附图3所示。取=1kΩ, 分别测得R两端对地电压Usm=17.5mV, Ui m=1 2 m V, 则Ri=R Ui m/ (Us mUim) =2.18kΩ

(3) 测量输出电阻RO

测量电路见附图4。输入一固定信号电压, 分别测得RL断开和接上时的输出电压UO=1.55V, UL=0.8V, 则

RO= (UO/UL-1) RL=1.9kΩ

3.7 误差分析

(1) 电压增益AV

理论计算值AV取50, 实测值AV=47

相对误差

γAV= (47-50) /50×100%=-6%

(2) 输入电阻Ri

理论计算值

实测值Ri=2.18kΩ

相对误差

γRi= (2.18-1.98) /1.98×100%=10%

(3) 输出电阻RO

理论值RO≈RC=2.2kΩ

实测值RO=1.9kΩ

相对误差

γRo= (1.9-2.2) /2.2×100%=-13%

误差产生的原因: (1) 各计算公式为近似公式; (2) 元件的实际值与标称值不尽相同; (3) 在频率不太高时, CE、CB的容抗不能忽视。

3.8 实验分析与研究

(1) 影响放大器电压增益的因素

从求AV的公式可知:

(1) 晶体管的β↑→AV↑;RC↑→AV↑, 而RO≈RC, 故RC不可太大。

(2) 影响放大器通频带的因素

从求fL的公式可知:

(1) 负反馈电阻RF↑→fL↓但AV↓, 故RF不能太大。

(2) CE↑→fL↓, 但CE增大后, 电容的体积和价格也增大, 设计时应综合考虑。

(3) 波形失真的研究

当静态工作点过低时, 如附图5中的Q1点, 会产生截止失真;过高时, 如图中Q2点, 会产生饱和失真。失真波形如附图6所示。改进办法:调整偏置电阻, 截止失真时减小RB1, 提高UBQ增大IE, 或重新设置工作点。

3.9 心得体会

(1) 通过本次实验掌握了单级阻容耦合放大器的工程估算方法和如何调整静态工作点, 熟悉了放大器的主要性能及其测量方法。尤其是对如何提高放大器的增益和扩展频带体会较深。

(2) 进一步掌握了示波器、信号发生器和万用表的使用方法, 以及如何检查晶体管的好坏。

(3) 在实验时应保持冷静, 有条理。遇到问题要联系书本知识积极思考, 同时一定要做好实验前的预习和实验中必要的记录, 这样才能够在实验后有实验数据进行分析和总结, 写出合格的实验报告。

参考文献

[1]郎朗.电路与电子技术实验教程.合肥工业大学出版社, 2012-3

实验报告及心得体会 第4篇

关键词:电子技术综合实验 实验报告 模式

电子技术综合实验是弱电类专业学生必修的专业基础实验课,包括电路实验、数字电路实验、模拟电路实验等。通过这些实验,学生能够学会基本电工仪器仪表的使用方法,熟悉电子电路的测量方法,加深理论知识的理解和应用,从而培养学生的动手能力和分析、解决问题的能力。

实验结束后,书写实验报告是实验课的必须要求,是对实验课教学的书面考查。书写实验报告的过程能够培养学生实事求是的科学态度和作风,帮助他们树立学术意识,锻炼语言表达能力,有利于他们将来总结研究资料,撰写科技论文等等。书写实验报告就是学生将来从事科学研究、工程技术等实际工作中撰写实验报告、研究成果报告及科技论文的模拟演习,这种能力的培养会直接影响到他们今后实际工作的能力和工作业绩。实验报告是培养科学实验分析总结能力的有效手段,也是一项重要的基本功训练,它能很好地巩固实验成果,加深对基本理论的认识和理解,从而进一步扩大知识面。

因此,我们应当在实验课教学中重视实验报告的书写,帮助学生掌握实验报告的书写方法,建立一个比较规范的实验报告书写模式,引导学生对实验过程和实验结果进行有效的分析和总结。

一、学生书写实验报告存在的问题

目前,我校所有的弱电类专业均开设了电子技术综合实验课程,该实验课是独立于理论课程的专业基础实验课程,根据相应理论课程的教学进程在大一、大二阶段开设。在教学中,普遍存在着学生对书写实验报告敷衍了事、实验报告不合格等现象,这里既有“学”方面的问题,也反映了“教”方面的问题,归纳起来主要有以下几点:

1.抄袭实验指导书,把实验报告和实验指导书混为一谈,这种现象十分普遍。学生往往从实验指导书上摘抄几段或几个条目,再添上一些数据和简单的结论,就算完成了实验报告。

2.实验报告缺乏实事求是的精神。实验报告是对实验过程全面、客观的总结,报告的核心特征就是实事求是。但是,很多学生书写实验报告时,对实验过程中应记录的实验条件、实验现象、测量数据和观测波形很不重视,实验记录潦草、零乱,有些随意修改数据,或照抄他人数据,甚至臆测实验结果。

3.不重视实验结果的分析和讨论。由于实验方法和实验仪器的测量精度等原因,实验数据必然存在一定的误差,但是学生不懂如何分析实验误差以及误差产生的原因,往往以“实验仪器精度不够”“实验箱的问题”等比较模糊的原因去解释,也没有对各种实验现象进行深入的讨论和研究,对课后的思考题一般不予作答,实验的体会比较肤浅。

4.实验报告书写不工整、不规范。很多学生交来的实验报告字迹潦草,条理不清,语言不通顺,数据表格、信号波形和符号不规范,很难读懂。

5.任课教师忽视了书写实验报告的教学。学生在进入大学前,一般较少接受书写实验报告的专门训练,他们不懂如何书写一份合格的专业基础实验的实验报告。由于实验内容多、课时少等原因,授课教师往往重视实验内容的教学,而没有专门教学生如何写实验报告。

二、电子技术实验报告的写作方法及基本模式

实验报告要真实地反映实验过程和实验结果,还应对实验进行分析、总结和思考。实验报告一份技术报告,要求概念正确,文理通顺,表达清楚,文字简洁,图表完备,符号标准。报告内容应包括实验目的、实验所用的仪器设备和元器件、实验内容和结果以及分析讨论等。根据笔者近年来的教学实践,结合课程的实际情况,给出如下书写电子技术实验报告的基本模式和方法。

首先,做实验者应在实验报告的开头如实填写个人信息、同组实验者、实验名称、实验日期等信息,再认真书写以下内容。

1.实验目的。实验目的是实验研究的主要任务,它反映了学生通过实验应掌握哪方面的理论知识和实验方法,学生应参考实验指导书,简明扼要地说明所做实验的目的。

2.实验仪器设备。电子技术综合实验离不开各种电子仪器和设备,学生应在实验报告中如实列出实验时所使用的仪器设备名称及其型号,如:信号发生器、万用表、示波器等,若实验是在实验箱上完成的,还应写明完成该实验所使用的相应电路模块。

3.实验原理。实验原理是实验的理论依据,是理论与实践的衔接,它是根据实验内容和实验条件,以理论为基础而设计的可行性实验方案。因此,学生应在认真阅讀实验指导书的基础上,详细论述实验原理,但不可长篇大论,力求简明扼要。例如:在“戴维南定理”实验中,等效电阻Req的测量方法有三种,学生应充分理解这三种测量方法,在实验报告中简要说明这三种测量方法及其优缺点。

4.实验内容及数据记录。“实验内容与实验数据”是实验报告的核心内容,学生应根据实验所采取的实验方法,如实叙述实验内容、实验步骤、观察到的实验结果等,并将测量数据填入拟好的表格中。说明实验步骤要条理清晰,填写实验数据要实事求是,不可臆测实验数据,也不得抄写其他同学的实验数据。

实验记录是实验过程中获得的第一手资料,写好“实验内容和数据记录”是以实验记录为基础的。实验过程中所测试的数据和波形必须和理论值基本一致,记录必须清楚、合理、正确,若不正确,则要及时重复测试,找出原因。实验记录应详细记录实验测试的数据和观测的波形以及实验中出现的现象,并初步判断实验的正确性;记录波形时,应注意观测输入、输出波形的时间相位关系,在坐标轴上对齐,准确读数。

通过实验测出数据后,通常要对实验数据进行计算、分析和整理,把实验数据变换成图、表、曲线或归纳成一定的表达式。在研究多个物理量之间的关系时,图、表更为直观。比如:在数字电路实验中常用真值表描述组合逻辑电路的输出与输入之间的关系,用状态表描述时序逻辑电路的输出和次态与输入和现态之间的关系;在模拟电路实验中,通常用曲线表示输出信号随输入信号连续变化的规律,如放大器的增益随信号频率的变化规律(即电路的幅频特性)。根据测量数据绘制曲线时,应注意:合理选择坐标系;合理选择坐标分度,标明坐标名称和单位;合理选择测量点及正确拟合特性曲线等。

填写测量数据的表格要规范,观测波形和各种特性曲线应根据实验数据在坐标纸上精确绘制。

5.结论与分析。实验过程固然重要,但如果做完实验不分析、不总结,那就达不到实验的目的。“结论与分析”是理论知识运用到实践中的科学总结,是实验报告的精髓,它是学生从感性认识到理性认识的升华。学生应根据实验原理,认真分析实验数据,判断实验结果正确与否;计算测量绝对误差和相对误差,并分析误差产生的原因及减小误差的方法。教师在授课开始时,应向学生讲授计算绝对误差和相对误差的方法。

对于验证型实验,必须明确表明实验结果与理论是否相符;对于研究设计型实验,要明确叙述设计思想和设计方案,说明实现设计方案的结果。

6.思考及建议。“思考与建议”是培养学生创造思维能力和探索能力的有效环节,学生可根据实验指导书给出的思考题进行新的思考和探索,阐明自己的见解,不必泛泛而谈。

三、结束语

书写实验报告是实验教学的重要环节,教与学两方面都应予以高度重视。教师应明确告知学生书写实验报告的格式、内容及规范,教会学生如何书写一份合格的实验报告,学生应按照实验报告的规范,认真书写每一份实验报告。只有这样,才能培养学生分析实际问题的能力,从而提高实验教学的质量。

参考文献:

[1]李海燕,赵汗青,高兴海,刘玉波.改革专业实验报告?摇提高实验教学质量[J].高校实验室工作研究,2008,(1):30-31.

[2]马聪玲,饶汉文.谈谈如何书写实验报告[J].科技咨询导报,2006,(18):231.

[3]臧春华,等.电子线路设计与应用[M].北京:高等教育出版社,2004:9-11.

作者简介:肖洪祥(1965-),男,湖北武汉人,副教授,从事电子信息工程专业教学与科研工作。

实验报告实验心得 第5篇

一、理论学习部分

在理论学习的过程中,我们用一节课的时间重点阅读了银行的信贷手册,并自己学习了物权法和担保法相关内容,了解了贷款调查报告的基本呢内容和写作方法。

1、担保法

在学习担保法的部分,我们主要了解了人民币放款时有关担保的一些规定,例如:放款中心按照授信审批通知书的要求对客户进行审查。对于符合要求,放款中心向客户出具保函,并交会计部门进行核算;客户取得一次性额度后3个月内仍未办理业务,担保额度自动失效;如再申请办理,则需重新审批;保函的修改。申请人如对保函主要条款(有关收益人、被担保人、债务期限、金额、币种、利率、适用法律等)提出修改,则需按照原有程序进行审批;保函的展期。申请人申请保函展期,应在到期日30天前按照原有审批程序完成审批。保证金是否按比例到位。反担保函确定的责任范围、有效期等要素是否与担保函一致。抵质押手续是否合法、有效。保函内容及条款。原则上按本行参考格式出具。否则需经本行有关法律合规部门审查。这些内容我们都能通过自行阅读了解到,并且,老师的讲解让我们对以上内容有了更深入的理解。

2、物权法

在学习物权法的过程中,我们对银行信贷手册中有关物权法的规定有了一定了解,了解了一些物权法关于抵押贷款方面的规定。例如:物权法》第195条第1款规定:“债务人不履行到期债务或者发生当事人约定的实现抵押权的情形,抵押权人可以与抵押人协议以抵押财产折价或者以拍卖、变卖该抵押财产所得的价款优先受偿。”依照该条规定,抵押权人实现抵押权的条件分为“债务人不履行到期债务”和“发生当事人约定的实现抵押权的情形”两种,符合其中任何一种的,抵押权人都有权实现抵押权。我重点学习了物权法在房地产抵押方面的有关内容。并且,在课堂上对阅读的内容和材料进行了巩固,通过完成练习卷来加深印象。

3、贷款调查报告

在课上,我们重点学习了贷款调查报告的编写方法。贷前调查主要调查三方面内容:合规性调查、安全性调查以及效益性调查。合规性调查主要包括:认定借款人、担保人合法主体资格;认定法定代表人、授权委托人、法人公章、签名的真实性和有效性及授权委托人的资格、条件;认定董事会决议的真实性、合法性和有效性;抵、质押物或权利的合法性、有效性;贷款使用合法合规性;购销合同真实性认定;借款目的调查.贷款的安全性调查主要包括:对借款人、保证人、法定代表人品行、业绩、能力、信誉调查;考察公司治理机制;财务管理状况调查;对原来到期的贷款本息清偿情况调查;对外股本和权益性投资、关联公司情况调查;对抵押物价值评估情况调查;汇率、利率风险承受能力调查。效益性调查主要包括:对借款人、保证人、法定代表人品行、业绩、能力、信誉调查;考察公司治理机制;财务管理状况调查;对原来到期的贷款本息清偿情况调查;对外股本和权益性投资、关联公司情况调查;对抵押物价值评估情况调查;汇率、利率风险承受能力调查。

二、小组报告

这次我们小组一共五人,合作完成了300146汤臣倍健的贷款调查报告。贷前调查报告主要包括:1,借款人基本情况2,借款人生产经营及经济效益情况3,借款人财务状况4,借款人与银行的关系5,借款人流动资金需求分析与测算6,对流动资金贷款的必要性分析7,对流动资金贷款的可行性分析8,对担保的分析9,综合性结论和建议。以上九个部分有一些我们无法得出,于是省略了一部分内容。我们五个人,从收集资料开始就在紧密合作之中。我们参考了汤臣倍健从20xx年到20xx年的报告,从汤臣倍健的基本资料和三年的资产负债表所显示的信息入手,编写了贷前调查报告。我们小组写作贷前调查报告的重点是第二部分借款人生产经营以及经济效益状况的分析和第三部分借款人财务状况的分析。其中,我负责的是第三部分借款人财务状况的分析以及对第四部分借款人与银行关系编写部分的补充和修改。在写作的过程中,我主要通过资产负债率、产权比率、流动比率、速冻比率、净利润率、总资产报酬率、存货周转率、固定资产周转率、总资产周转率等反应财务状况的指标对企业的财务状况进行分析

三、个人心得体会

在这期间,我们不但学习了贷款管理中贷前管理的相关知识,也自己动手,完成了贷款调查贷前管理部分的上机实验。通过上机实验,我们大致了解了贷前审核的全过程,也运用上了小组贷款调查报告的知识。此外,在课余时间,我们五人一组完成了汤臣倍健公司的贷款调查报告。

财务管理实验报告实验心得体会 第6篇

公司财务的手工管理,是我们根据一个企业(有限公司)的历史报表数据以及一些以往的经验数据,对该公司的报表进行分析比较以及财务分析、销售预测、筹资还款分析、投资可行性分析和最佳订货批量的预定等工作。

3、用友U8会计电算化实习:

用友U8会计电算化实习,是按照手工做账会计实习的整个业务过程,从新建帐套开始,经过初始化、期初操作、填写业务凭证、科目汇总、签字、记账到最后的对账结账、出报表。与手工相对进行比较。

4、财务管理计算机实习:

财务管理计算机实习,是运用计算机操作,以excel软件为处理平台,实验内容与手工财务管理实习相同。以初始数据为基础,对表格进行编辑公式、表间取数、直接拖动获取公式定义等操作,从而最终完成最后的分析过程。

二、会计、财务管理专业实习的过程及内容:

1、手工做账计实习:

手工做账会计实习是建立在我们对会计专业知识(!)充分学习和认识后的实践工作。该实习历时三周时间,分为以下几个步骤:

①订立账簿:按照公司实际业务的需要,选择合适的帐页,把需要涉及

到的会计科目加盖会计科目印章(一级科目),填写会计科目的明细(二级及二级以下科目)。最后把需要的帐页装订好,即用绳系牢。其中共有四个账本,包括:总分类账、存货及固定资产明细账、成本费用帐、三栏账。

②录入期初余额:根据实习资料的数据,将该企业各科目的期末余额填列到相应的总账或明细账中,摘要栏加盖“上年结转”印章。

③日常业务处理:根据实验资料所给的原始凭证,填写记账凭证。填写时要注意业务发生的日期,附件张数。另外还要注意每笔业务需要的凭证张数,需要一张以上凭证填列时,需在凭证编号后再加上一个分数(第张凭证/共#张凭证)。最后需要在凭证下方的制单处签上制单人的姓名。做完的凭证还应拿给他人审核,审核无误后签名。

④科目汇总:当日常业务做到15号时,我们就需要对1—15日的业务进行科目汇总。首先应根据每张凭证填写科目汇总附表,然后再填列科目汇总表(汇一)。之后的汇二(15—30日业务)、汇三(31日业务)同理即可完成。

⑤记账:记账包括两个部分,即登记总账和登记明细账。我们先根据科目汇总表登记总账的借贷方发生额,再根据每一张记账凭证登记相应的明细账,此时要注意在每一笔记过帐的凭证中应作上记账标记,并在凭证右下角记账处签上记账者姓名。

⑥对账:当完成本月所有业务后,我们就需要进行对账,即总账与明细账核对,各个对应科目余额相一致时对账工作就可结束。如果出现总账与明细账科目余额不相同的情况时,应查看该科目所涉及到的每笔业务的原始凭证,查出错误并进行改正。改正时应注意用红笔划横线划去错误的,再在其上写出改正后的结果。

⑦结账:经过对账的工作后,我们可以基本保证该账务处理的正确性。因此我们就可以做结账的工作了,即把所有账簿中会计科目的余额都给结出来,并加盖“本月合计”印章,同时还需要在本月合计处划两条红线,一上一下,上线划到头,下线划到合计处。

⑧填制财务报表:财务报表包括三个部分,即利润表、资产负债表和现金流量表。以下做具体分析:

Ⅰ利润表:利润表的编制相对比较简单,我们可以从总账中轻松找到与之对应可会计科目,其中:营业收入=主营业务收入+其他业务收入,营业成本=主营业务成本+其他业务成本,财务费用和资产减值损失须要根据明细账填列(这是因为这两科目有红字出现,总账只能表示出余额而不反映借贷关系),其他均可由总账得数。

Ⅱ资产负债表:资产负债表的编制主要根据总分类账填列,其中应收账款、预收账款、应付账款和预付账款须要根据明细账填列,是因为以上科目可能借贷都存在余额。另外未分配利润=净利润-盈余公积-上年应付股利。

上一篇:最幽默的教师节贺词下一篇:厂房清洗方案