数字电路基础问答题总结

2024-09-19

数字电路基础问答题总结(精选7篇)

数字电路基础问答题总结 第1篇

数字电路基础问答题总结

1.什么是同步逻辑和异步逻辑?同步电路和异步电路的区别是什么?

同步逻辑是时钟之间有固定的因果关系。

异步逻辑是各时钟之间没有固定的因果关系。

电路设计可分类为同步电路和异步电路设计。同步电路利用时钟脉冲使其子系统同步运作,而异步电路不使用时钟脉冲做同步,其子系统是使用特殊的“开始”和“完成”信号使之同步。

同步电路是由时序电路(寄存器和各种触发器)和组合逻辑电路构成的电路,其所有操作都是在严格的时钟控制下完成的。这些时序电路共享同一个时钟CLK,而所有的状态变化都是在时钟的上升沿(或下降沿)完成的。比如D触发器,当上升延到来时,寄存器把D端的电平传到Q输出端。

异步电路主要是组合逻辑电路,用于产生地址译码器、FIFO或RAM的读写控制信号脉冲,但它同时也用在时序电路中,此时它没有统一的时钟,状态变化的时刻是不稳定的,通常输入信号只在电路处于稳定状态时才发生变化。也就是说一个时刻允许一个输入发生变化,以避免输入信号之间造成的竞争冒险。

在同步电路设计中一般采用D触发器,异步电路设计中一般采用Latch。

2.什么是“线与”逻辑,要实现它,在硬件特性上有什么具体要求?

线与逻辑是两个输出信号相连可以实现与的功能。在硬件上,要用OC门来实现(漏极或者集电极开路),由于不用OC门可能使灌电流过大,而烧坏逻辑门,同时在输出端口应加一个上拉电阻。(线或则是下拉电阻)

3.什么是竞争与冒险现象?怎样判断?如何消除?

在组合逻辑中,由于门的输入信号通路中经过了不同的延时,导致到达该门的时间不一致叫竞争。产生毛刺叫冒险。

如果布尔式中有相反的信号则可能产生竞争和冒险现象。

解决方法:一是添加布尔式的消去项,二是在芯片外部加电容。

4.你知道哪些常用逻辑电平?TTL与COMS电平可以直接互连吗?

常用逻辑电平:12V,5V,3.3V; TTL和CMOS不可以直接互连,由于TTL是在0.3-3.6V之间,而CMOS则是有在12V的有在5V的。CMOS输出接到TTL是可以直接互连。TTL接到CMOS需要在输出端口加一上拉电阻接到5V或者12V。

5.如何解决亚稳态

亚稳态:是指触发器无法在某个规定时间段内达到一个可确认的状态。当一个触发器进入亚稳态时,既无法预测该单元的输出电平,也无法预测何时输出才能稳定在某个正确的电平上。在这个稳定期间,触发器输出一些中间级电平,或者可能处于振荡状态,并且这种无用的输出电平可以沿信号通道上的各个触发器级联式传播下去。

解决方法:

1)降低系统时钟

2)用反应更快的FF

3)引入同步机制,防止亚稳态传播

4)改善时钟质量,用边沿变化快速的时钟信号

关键是器件使用比较好的工艺和时钟周期的裕量要大。

6.IC设计中同步复位与异步复位的区别

同步复位在时钟沿采复位信号,完成复位动作。异步复位不管时钟,只要复位信号满足条件,就完成复位动作。异步复位对复位信号要求比较高,不能有毛刺,如果其与时钟关系不确定,也可能出现亚稳态。

7.MOORE 与 MEELEY状态机的特征

Moore 状态机的输出仅与当前状态值有关, 且只在时钟边沿到来时才会有状态变化。Mealy 状态机的输出不仅与当前状态值有关, 而且与当前输入值有关。

8.什么是集电极开路与非门(OC门)? OC门和普通的TTL与非门所不同的是,它用一个外接电阻RL来代替由VT3、VT4组成的有源负载,实现与非门逻辑功能,OC门逻辑功能灵活,应用广泛。

9.什么是TTL集成电路? TTL集成电路是一种单片集成电路。在这种集成电路中,一个逻辑电路的所有元器件和连线都制作在同一块半导体基片上。由于这种数字集成电路的输人端和输出端的电路结构形式采用了晶体管,所以一般称为晶体管一晶体管(Transistor-tranSiS-tor Logic)逻辑电路,简称TTL电路。

10.MOS电路的特点:

优点: 1)工艺简单,集成度高。

2)是电压控制元件,静态功耗小。3)允许电源电压范围宽(318V)。4)扇出系数大,抗噪声容限大。缺点:工作速度比TTL低。

11.什么是三态与非门(TSL)? 三态与非门有三种状态: 1)门导通,输出低电平。2)门截止,输出高电平。

3)禁止状态或称高阻状态、悬浮状态,此为第三态。

三态门的一个重要用途,就是可向同一条导线(或称总线Y)上轮流传送几组不同的数据或控制信号。

12.请画出用D触发器实现2倍分频的逻辑电路

把D触发器的输出端加非门接到D端。

13.用D触发器做4进制的计数器

14.MOS门电路在使用时应注意哪些问题?

1)输入端不能悬空。因MOS电路是一种高输入阻抗的器件,若输入端悬空,由于静电感应形成的电荷积聚而产生的高压将栅极击穿;另外由静电感应而产生的电压易使电路受到干扰,造成逻辑混乱。

2)集成MOS逻辑电路在保存时一般应将各管脚短接以防止静电感应;在焊接时,电烙铁应真正接地线。

15.电子计数器测量频率的基本原理是什么?

电子计数器进行频率测量是通过在一定的闸门时间内对被测量信号进行计数来完成的。被测信号送入输入电路后,经放大、整形变换成与其周期相同的脉冲被送至闸门电路,同时,晶体振荡器产生的信号经分频器分频后,通过门控双稳电路,选定闸门开通时间,闸门开通后对被测信号计数。最后,通过显示电路显示被测频率。

数字电路基础问答题总结 第2篇

数字电路基础

【课题】

7.1 概述

【教学目的】

1.让学生了解数字电子技术对于认知数码世界的重要现实意义,培养学生学习该科目的浓厚兴趣。

2.明确该科目的学习重点和学习方法。【教学重点】

1.电信号的种类和各自的特点。2.数字信号的表示方法。

3.脉冲波形主要参数的含义及常见脉冲波形。4.数字电路的特点和优越性。【教学难点】

数字信号在日常生活中的应用。【教学方法】

讲授法,讨论法 【参考教学课时】

1课时 【教学过程】

一、新授内容

7.1.1 数字信号与模拟信号

1.模拟信号:在时间和数值上是连续变化的信号称为模拟信号。2.数字信号:在时间和数值上是离散的信号称为数字信号。讨论: 请同学们列举几种常见的数字信号和模拟信号。7.1.2 脉冲信号及其参数

1.脉冲信号的定义:在瞬间突然变化、作用时间极短的电压或电流信号。2.脉冲的主要参数:脉冲幅值Vm、脉冲上升时间tr、脉冲下降时间tf、脉冲宽度tW、脉冲周期T及占空比D。7.1.3 数字电路的特点及应用

特点:1.电路结构简单,便于实现数字电路集成化。2.抗干扰能力强,可靠性高。(例如手机)

3.数字电路实际上是一种逻辑运算电路,电路分析与设计方法简单、方便。4.数字电路可以方便地保存、传输、处理数字信号。(例如计算机)5.精度高、功能完备、智能化。(例如数字电视和数码照相机)

应用:数字电路在家电产品、测量仪器、通信设备、控制装置等领域得到广泛的应用,数字化的发展前景非常宽阔。

讨论:1.你用过哪些数字电路产品,请列出1~2个较为典型的例子,并就其中一个产品说明它的功能及优点和缺点。

二、课堂小结

1.数字信号与模拟信号的概念 2.脉冲信号及其参数 3.数字电路的特点及应用

三、课堂思考

讨论:谈谈如何才能学好数字电路课程?

四、课后练习

P143思考与练习题:1、2、3。

【课题】

7.2 常用数制与编码

【教学目的】

1.掌握二进制、十进制、十六进制数的表示方法及数制间的相互转换。

2.了解8421BCD码的表示形式。【教学重点】

1.二进制、十六进制数的表示方法。

2.数字电路中为什么广泛采用二、十六进制数。3.为什么要进行不同数制之间的转换。

4.进行二进制、十进制数、十六进制之间的相互转换。5.8421BCD码。【教学难点】

十进制数与十六进制数之间的相互转换。【教学方法】

讲授法 【参考教学课时】

2课时 【教学过程】

一、复习提问

数字电路的特点及应用。

二、新授内容

7.2.1 数制

基本概念:(1)进位制(2)基数(3)位权

1.十进制:十进制数有0、1、2、3、4、5、6、7、8、9共10个数码。十进制数作加法运算时遵循“逢十进一”,作减法运算时遵循“借一当十”的规则。

小提示:在实际的数字电路中,采用十进制十分不便,因为十进制有十个数码,要想严格地区分开必须有十种不同的电路状态与之相对应,这在技术上实现起来比较困难。因此,在实际的数字电路中一般不直接采用十进制数。

2.二进制:二进制数仅有0和1两个不同的数码。进位规则为“逢二进一”;借位规则为“借一当二”。

小提示:二进制是数字电路中使用最广泛的一种数制。因为二进制数只有0、1两个数码,容易通过电路或器件的状态来表示;其次,二进制的运算规则简单。

3.十六进制:十六进制的进位规律是“逢十六进一”。7.2.2数制间的转换

1.二进制数转换为十进制数

转换方法是:写出二进制的权展开式,然后将各数值按十进制相加,即可得到等值的十进制数。

2.十进制整数转换为二进制数

转换方法是:将十进制整数逐次用2除取余数,一直除到商为零。其中最先出现的余数为二进制数的最低位数码。这种转换方法通常称为短除取余倒计法。

3.二进制数转换为十六制数

转换方法是:将二进制数自右向左每4位分为一组,最后不足4位的一组,高位用零补足;然后写出每一组等值的十六进制数。

4.十六进制数转换为二进制数

转换方法是:只要把每一位十六进制数用相应的四位二进制数代替即可。7.2.3 编码

1.二-十进制代码

常用的二-十进制代码是用4位二进制数表示1位十进制数,也称BCD码。2.字符代码

三、课堂小结

1.数制

2.数制间的转换

3.编码

四、课堂练习

1.将下列二进制数转换成十进制数:(1)(101001)

2(2)(10110)2

2.分别求出10~20所对应的二进制数。3.将下列十进制数用8421BCD码表示:(1)17

(2)432

五、课后练习

P147思考与练习题:1、2、3。

【课题】

7.3 逻辑门电路

【教学目的】

1.掌握基本逻辑门和常用复合门的逻辑功能及电路符号。2.了解集成逻辑门的内部结构和常用集成逻辑门的系列品种。【教学重点】

1.基本逻辑门的逻辑功能。

2.与非门、或非门、与或非门、异或门等复合逻辑门的逻辑功能,会画电路符号,会使用真值表。【教学难点】

特殊逻辑门的作用和应用。【教学方法】

讲授法、讨论法及实物展示。【参考教学课时】

4课时 【教学过程】

一、复习提问

1.简述各数制之间的转换方法。2.将下列十进制数用8421BCD码表示。(1)28

(2〕3895

二、新授内容 7.3.1 基本逻辑门

基本概念: 逻辑关系、逻辑门电路 1.与逻辑门

(1)与逻辑关系:“只有当决定一件事情(灯亮)的各种条件(开关S1、S2闭合)完全具备时,该事情(灯亮)才发生,否则就不发生”,这样的逻辑关系称为与逻辑关系。(2)与门电路: 逻辑符号、表达式、真值表 讨论:联系生活说明有哪些常见的与逻辑。2.或逻辑门

(1)或逻辑关系:“在决定一件事情(灯亮)的各种条件(开关S1、S2闭合)中,只要有一个条件具备,该事情(灯亮)就会发生”,这样的逻辑关系称为或逻辑关系。(2)或门电路:逻辑符号、表达式、真值表 讨论:联系生活说明有哪些常见的或逻辑。3.非逻辑门

(1)非逻辑关系:“事情的结果(灯亮)与条件(开关闭合)总是呈相反状态”。(2)非门电路:逻辑符号、表达式、真值表 讨论:联系生活说明有哪些常见的非逻辑。7.3.2 复合逻辑门

1.与非门:逻辑功能、逻辑符号、表达式、真值表 2.或非门:逻辑功能、逻辑符号、表达式、真值表 3.与或非门:逻辑功能、逻辑符号、表达式、真值表 4.异或门:逻辑功能、逻辑符号、表达式、真值表

5.同或门:逻辑功能、逻辑符号、表达式、真值表(补充)* 7.3.3 特殊逻辑门 1.集电极开路与非门(OC门)

(1)OC门的工作原理、逻辑符号、逻辑表达式及逻辑功能(2)OC门的应用举例 ①实现线与

②驱动显示器 ③实现电平转换 2.三态门(TSL门)

(1)三态门的逻辑符号及功能(2)三态输出门的应用举例 ①用三态输出门实现数据单向传送 ②用三态输出门实现数据双向传送 7.3.4 常用集成逻辑门

1.集成门电路的内部结构(教材图7.19)补充: 双列直插式集成电路(1)外形封装(实物展示)(2)引脚的编号辨认

2.集成与门、与非门:逻辑功能,电路符号 3.集成或门、或非门:逻辑功能,电路符号 4.常用集成非门

三、课堂小结

1.基本逻辑门的逻辑符号及逻辑功能

2.复合逻辑门的逻辑符号及逻辑功能 3.特殊逻辑门的逻辑符号及逻辑功能 4.常用集成逻辑门

四、课堂练习

1.写出下列各门电路的输出结果:

2.如何判别双列直插式集成电路引脚的编号顺序?

3.在一条长廊中,想用三个开关去控制一盏灯,如奇数个开关合上时,则灯亮;如偶数个开关合上时(0是偶数),则灯熄。根据该文字描述建立真值表。

五、课后练习

1.P156思考与练习题: 2、3、5。

2.将学过的各种门电路的逻辑功能归总列表。

【课题】

7.4 逻辑函数的化简

【教学目的】

掌握逻辑代数的基本定律、常用公式与化简方法。【教学重点】

1.了解逻辑函数化简和变换的意义。2.掌握逻辑代数的基本定律和基本公式。3.理解逻辑表达式化简的标准。4.用逻辑函数基本公式化简逻辑函数。【教学难点】

利用配项法进行逻辑函数化简。【教学方法】

讲授法、讨论法 【参考教学课时】

2课时 【教学过程】

一、复习提问

画出基本逻辑门的逻辑符号并说明其逻辑功能。

二、新授内容

7.4.1逻辑代数的基本定律 7.4.2 逻辑函数的代数法化简 补充:化简在实用中的意义 1.逻辑函数的表示方法 2.逻辑函数化简的基本原则 3.逻辑代数常用公式 4.逻辑函数的化简方法 5.例题讲解

讨论:通过例题讲解可知,对于比较复杂的逻辑函数式,可用不同的公式和方法进行化简,其结果是相同的,但有繁有简。我们要善于选择比较精炼的方法来完成。

三、课堂小结

1.逻辑代数的基本定律 2.逻辑函数的代数法化简

四、课堂思考

P159 思考与练习题:

1、2。

五、课后练习

1.P159 思考与练习题:3、4、5。

2.在课外资料上寻找有关题目,扩展学生知识面。

【课题】

* 7.5 数字集成电路的基本使用常识

【教学目的】

1.了解典型TTL、CMOS数字集成电路的主流系列品种、主要特点及使用常识。

2..培养学生应用能力,提高学生的动手能力。【教学重点】

1.TTL、CMOS集成电路的主流系列品种、主要特点及使用常识,并会测试其逻辑功能。2.逻辑门闲置引脚的处理方法。【教学难点】

根据要求,合理选用集成门电路。【教学方法】

讲授法、举例法 【参考教学课时】

1课时 【教学过程】

一、复习提问

写出各种逻辑门电路的逻辑功能与逻辑表达式。

二、新授内容

7.5.1数字集成电路的分类

1.TTL数字集成电路 2.CMOS数字集成电路 7.5.2数字集成电路的使用注意事项

1.闲置输入引脚的处理 2.集成电路使用应注意的问题

3.TTL数字集成电路应用举例(补充)

图7.1所示为简易逻辑测试笔电路图,可用来检测TTL数字集成电路的逻辑电平值。说明该电路的工作原理。(提示:分析TTL输出电平值为0和1时,发光二极管的发光情况)

图7.1

三、课堂小结

1.数字集成电路的分类

2.数字集成电路的使用注意事项

四、课堂思考

P163 思考与练习题:

2、3。

五、课后练习

P163 思考与练习题:

1、4

【课题】

实训项目 7.1 数字电路实训箱的初步使用

【实训目标】

1.认识数字实训箱的结构。2.掌握数字实训箱的使用。【实训重点】

数字实训箱的使用。【实训难点】

实训箱故障的诊断与排除。【实训方法】 实验实训 【参考实训课时】

1课时 【实训过程】

一、实训任务

任务一

认识数字实训箱的结构

1.认识实训箱的结构 2.使用注意事项 任务二

实训箱的初步使用

1.观察实训箱插件板的结构。

2.开机后,可以看到操作面板上十六位红色发光二极管点亮,六位数码管显示出初始状态,这时可用万用表测出数码管驱动芯片接Vcc引脚的电平值=

V;接GND引脚的电平值=

V。可用万用表检查电源电压=

V,看是否符合电路或集成组件的要求,一般TTL电路为5V。

3.测试十六位逻辑开关和十六位发光二极管红、绿灯的显示功能 4.测试单脉冲及连续脉冲的输出功能

二、实训总结

1.数字实训箱的操作面板上包含有哪几部分内容,使用时有哪些注意事项? 2.总结数字实训箱的使用方法。

三、课堂思考

1.数字实训箱的操作面板上包含有哪几部分内容,使用时有哪些注意事项?

四、课后作业

完成实训报告,写出本次实训的体会和收获。

【课题】

实训项目7.2 集成逻辑门电路的功能测试

【实训目标】

1.掌握逻辑门电路的逻辑功能测试方法。

2.掌握在实训箱上连接实现数字电路的方法。【实训重点】

掌握在实训箱上连接实现数字电路的方法。【实训难点】

集成电路多余输入端的处理方法。

【实训方法】

实验实训 【参考实训课时】

1课时 【实训过程】

一、复习提问

1.基本逻辑门电路的逻辑功能。

2.数字实训箱使用时有哪些注意事项?

二、实训任务

任务一

与非门逻辑功能测试

1.测试电路原理 2.操作步骤

任务二

用与非门控制信号输出

1.实训电路原理 2.实训内容

三、实训总结

1.画出电路原理图,并标明集成块名称和接线时使用的引脚号。2.按照实训操作过程记录、整理实训内容和结果,填好测试数据。

四、课堂思考

1.当与非门的一个输入端接脉冲源时,请问其余输入端在什么状态下允许脉冲通过?什么状态下禁止脉冲通过?

2.如何检测与非门集成电路质量的好坏?

五、课后作业

数字电路基础问答题总结 第3篇

近年来, 全国各高校都开设了EDA技术的教学和实践课程。对高职电子专业的学生而言, 数字电子技术课程设计是学生在学习数字电子技术理论课程后进行的一次综合性训练, 其目的是培养学生综合运用所学理论知识的能力、独立设计电子产品的能力及对电子产品实际安装调试的能力。学生从原理图设计开始, 一直做到样机调试成功, 经历整个电子产品的设计、开发过程, 所以, 将传统课程设计与EDA技术训练相结合, 使学生对该技术在电子设计中所起的作用建立整体的认识, 能对学生综合能力的培养有所帮助。

课程设计的总体思路

课程设计过程

数字电路课程设计的过程主要分为两个阶段:一是应用Multisim仿真设计电路。在学生根据设计课题拟定初步方案后, 要求他们先在电路仿真与分析软件Multisim平台上对所设计的电路进行仿真, 观察电路功能是否满足设计要求, 主要元器件参数对分析电路指标的影响, 在Multisim平台上调试电路使之达到技术指标, 为实践做准备。二是应用Protel设计印刷电路板。在Multisim仿真后, 要求学生应用Protel设计软件设计出PCB印刷电路板图。PCB版图必须布局合理, 符合电气布线规则。总体过程可用流程图 (见图1) 表示。

课程设计时间安排

课程设计安排两周时间。第一周, 安排学生自行查阅资料, 进行基本电路设计, 计算相关电路参数。对于学生设计所用的元件, 出于成本的考虑, 在设计过程中要求学生尽可能地采用实验室的器件, 教师应尽量增加器件的种类供学生挑选, 其他的特殊器件均由学生在给定的经费额度内自行采购。学生完成电路的理论设计以后, 画出理论设计的电路图, 给出有关设计依据, 并由Multism 9.0软件仿真通过后交指导教师审核, 再利用Protel DXP软件进行印刷板设计, 由于是自行加工制作, 所以工艺上要求设计成单面板。这样, 一方面, 培养了学生工程设计的成本控制意识;另一方面, 也给学生熟悉市场的机会。第二周, 学生在完成以上工作后, 进入实验室制作电路板, 对腐蚀后的电路板进行打孔, 最后完成元器件的焊接、电路调试等工作。最后安排两天的时间进行课程设计报告的编写和答辩。

课程设计评分

课程设计评分分为设计报告和设计功能实现两部分。评分指标如下: (1) 设计报告30分; (2) 作品功能70分, 分成以下几个评分点:印刷版布线10分;焊接技术10分;电路功能50分 (实现功能20分, 其余基本功能每完成一部分给10分) 。发挥部分考虑到能完成的学生不多, 只把此部分功能的实现作为额外计分的因素。以上的评分指标明确了评分的要点, 从而引导学生在设计过程中不仅要注意理论设计, 而且要注意追求科学合理的电路工艺和良好的电路性能指标, 促使学生在电子技术基本技能和电路设计能力方面得到全面和系统的训练, 以达到提高学生综合能力的目的。

课程设计的教学实践

以下是我院应用电子技术专业一次“六路智力竞赛抢答器”课程设计的全过程。

设计要求:

可同时供6名选手进行比赛, 各用一个抢答按钮, 按钮的编号分别与选手的编号相对应;给节目主持人设置一个控制开关S, 用来控制系统清零和抢答开始;抢答器具有数据锁存和显示功能, 抢答开始以后, 若有选手按动抢答按钮, 编号便立即锁存, 并在LED数码管上显示选手的编号, 同时, 扬声器发出音响提示。此时, 输入回路封锁, 禁止其他选手抢答。优先抢答的选手编号一直保持到主持人将系统清零时为止。

发挥部分:

参赛选手在设定的时间内抢答有效, 显示器上显示选手的编号和抢答的时间, 并保持到主持人将系统清零时为止。根据设计意图可以确定设计框图 (如图2所示) 。

1.在多媒体机房中, 根据设计要求利用Multism 9.0设计电路原理图, 并通过Multism 9.0自带的仿真仪器对所设计电路进行仿真分析, 逐步改进电路, 直至达到设计要求。在这里抢答部分采用的是一个74ls148编码器对选手抢答信号编码, 并通过74ls175D功能数据锁存器锁存, 最后经4511译码后驱动7段LED共阴数码管显示。同时74ls30形成锁存脉冲控制D功能数据锁存器锁存信号;音响报警部分由555振荡器完成。 (如图3所示)

2.利用在Multism 9.0中生成的网络表, 导入到Protel Dxp软件中设计PCB电路板 (如图4所示) 。在生成网络表时, 要注意自制元件封装与原理图中的名称一致, 在此自制了按钮和数显的封装, 方法是拿实物在万能板上进行尺寸比对, 由于万能板的两个孔距为标准的100mil, 所以, 无需特殊的测量工具就可完成元件的封装测量。我们设计的走线线宽为20mil, 焊盘的直径为60mil。事实证明, 该尺寸是手工制板的最低数据, 若低于该数据, 在腐蚀的时候很容易断裂。当然, 在满足安全间距的条件下, 可以尽可能地加大线宽和焊盘直径。由于设计的是单面板, 不可避免会出现无法布通的线路 (红线) , 可以在最后装配的时候在元件面用跳线来连接。

3.在电子制作实验室中, 学生将打印出来的电路板图通过电路板制作设备制作成实际电路板 (如图5所示) 。打孔完毕后, 再用流动的自来水清洗, 然后刷上酒精松香溶液并用吹风机吹干, 对于一些腐蚀过度断裂的线路, 可采用拖锡的方法补好。

4.在电子制作实验室中, 学生学习各种元器件的选择、常用工具与仪器仪表的使用以及练习焊接技术, 最后完成整机组装, 并通电测试是否达到设计标准 (如图6所示) 。

5.在课程设计实验室中, 学生组装调试所设计的电路, 使用自己所学的方法分析和排除电路故障, 并撰写课程设计报告。

课程设计的教学效果和启示

通过几年课程设计的实践, 对抢答器、数字温度计、数字钟、交通灯控制器等设计课题, 采用EDA软件指导学生进行电子技术课程设计, 取得了较好的教学效果。课程设计过程培养了学生的竞争意识、创新意识, 提高了学生的计算机应用水平, 学生在学习方法、遵守纪律、团结协作、创新能力、独立分析问题与解决问题的能力、写作和语言表达能力、吃苦耐劳和踏实严谨的作风、言行举止和文明礼貌等方面都受到很好的锻炼和培养。同时, 我们也从中获得了一些启示。

教学内容要先进、新颖、实用

课题内容应涉及理论课中学到的各种规模集成器件, 这样可以调动学生的学习热情, 提高学生的学习兴趣, 发挥学生的主观能动性和积极性, 而且可以促进教师不断学习, 更新知识结构, 真正做到教学相长。

设计过程的优化

数字电子技术课程设计是一个循序渐进的过程。在这一过程中, 每一个阶段的成功与否, 对下一阶段乃至整个课程设计是否达到预期效果都起着非常重要的作用。在整个设计过程中, 教师主要应侧重于三个方面:方案设计、安装调试、撰写报告。这样, 可使整个设计过程起点高、要求严、效果好。同时, 要遵循“教师主导, 学生主体, 训练为主”的教学思路, 以便在整体上形成最佳的教学组合。

自主学习能力的培养

数字电路课程设计从查阅资料、提出初步方案到完善方案, 从原理图的仿真、实施以及设计的完成到写出设计报告, 整个过程都要求学生自己动手。教师可定期组织学生进行讨论, 指导学生在自主学习过程中发现问题、解决问题, 进一步培养学生分析、解决问题的能力, 培养学生的团结协作精神, 充分激发学生的学习主动性。

重视课程设计报告的撰写

培养学生科技论文写作能力, 重视课程设计后期的总结工作, 不仅可以培养学生良好的科学态度和素质, 同时, 还能使学生在总结中获得知识和经验, 培养学生科技论文撰写能力。课程设计报告的撰写包括如下的内容:设计任务、设计方案的论证与比较、具体电路的设计、元器件的选择和调试、设计工作总结等, 基本上是按毕业设计的要求完成的。设计报告总结的过程就是一个对知识深入理解和提高的过程, 可以使学生对工程设计的方法更加明确、对知识的理解更加深刻。

让答辩过程成为再学习、再提高的过程答辩时, 每个学生都要先概述自己的设计过程, 重点讲述设计过程中遇到的问题以及分析问题和解决问题的方法。然后教师提问, 学生回答。最后教师结合各组的设计情况进行点评、讲解, 同时发起讨论, 引导学生对不同的设计方案进行比较, 训练学生的综合分析问题的能力, 每个学生都可以提问、参与讨论, 提出自己的看法。通过答辩、讲评, 学生可以体会别人的设计思路, 开阔眼界, 也能从别人的设计中吸取经验教训。这样, 答辩过程就成了再学习、再提高的过程。

经过这几年的探索与实践, 笔者深刻体会到在课程设计中引入EDA技术, 设置适合的教学内容是十分必要的, 对理论教学和传统实验教学都是有益的补充。有的学生在总结时写道:“通过两周的课程设计, 不仅考查了我们对组合和时序逻辑电路的掌握情况, 同时, 也锻炼了我们使用仪器和计算机辅助设计的技能, 使学过的知识得到了复习与巩固。更重要的是把原来所学的理论知识与实际生活联系在了一起, 使学习变成了一种乐趣, 使知识形象具体地被掌握!”

通过课程设计的锻炼, 学生可以增强综合分析问题和解决问题的能力, 激发了学习兴趣和潜在能力。所以, 在教学中应当注意做到少讲多练, 使理论教学与实践紧密结合, 在实践过程中, 让学生了解和体会EDA技术在电子技术设计领域的重要作用。

参考文献

[1]徐丽香.数字电子技术[M].北京:电子工业出版社, 2006.

[2]崔建明.电工电子EDA仿真技术[M].北京:高等教育出版社, 2004.

数字电路基础问答题总结 第4篇

一、仿真实验环节

计算机仿真实验教学已经成为时下各种专业技能课理论教学的重要辅助教学工具。EWB软件,是目前电工电子类专业课计算机辅助实验教学中使用口碑较好的一款软件,目前在使用的版本是Multisim10。该软件仿真手段切合实际,选用的元器件和仪器与实物非常接近,非常适合《数字电路基础》课的实验教学。其元件库不仅提供了数千种电路元器件供选用,而且还提供了各种元器件的理想值,若对分析精度有特殊的要求,也可以自行选择具有具体型号的器件模型。此外,该软件的仪器库存放着数字万用表、函数信号发生器、示波器、扫频仪、字信号发生器、逻辑分析仪和逻辑转换仪等多种电工电子仪器仪表可供使用。

《数字电路基础》一书共分六个模块内容。其中的模块二——组合逻辑电路一章主要讲述了译码器芯片的原理和使用,模块三——脉冲产生与变换电路一章主要讲述了555芯片的原理和使用,模块四——时序逻辑电路一章主要讲述了触发器芯片的原理和使用。学生在学习这三模块的内容时,基本理解了每一种芯片的工作原理后,如何进一步巩固,如何将知识综合应用是极其重要的。因此,采用做仿真实验的形式来巩固理论学习成果是行之有效的方法,可以大大提高学生的学习兴趣。图1的流水灯电路就是将这三个模块知识点有机结合后再进行仿真实验的具体例子。

二、设计PCB环节

在有了仿真实验的操作后,学生的学习兴趣大增,进而就会想到如何把仿真实验变为现实的问题。在平时的基础课学习中,学生已经学习过《Protel99SE原理图与PCB及仿真》课程,这为实现本环节奠定了重要基础。因此,运用Protel99SE软件设计PCB板,既是对该课程内容学习成果的一种实际检验,更是由仿真模拟阶段到实际设计阶段的一次重要跨越。在PCB板的设计中,强调的是各种芯片及元器件的封装设计。学生在设计封装时,因为有集成芯片实物为参照,自然就引发对各芯片的引脚功能做更深入的了解,使抽象变为具体。设计完成后,还可以通过软件上的3D显示功能来观察整个电路板的“设计成型”,立体感强,可以充分激发学生制作真正电路板的欲望。

三、制板DIY环节

本环节中,根据上一环节设计好的PCB板尺寸,选择一块尺寸合适的敷铜板,清水洗净后,用细砂皮轻轻打磨敷铜一面以去除表面氧化层;将设计好的PCB图用激光打印机打印在热转印纸上,贴在敷铜板上后放到热转印机胶辊下;设定好热转印机的温度后,将PCB图和敷铜板一起送入,待PCB图全部转印完成后,再放在浓度调配好的FeCl3腐蚀液容器中进行腐蚀;待腐蚀完成后再用台钻打孔,最后用松香水均匀涂在做好的PCB板表面,自己制作PCB板就完成了。在这一过程中,刚开始是要教师亲自示范,并讲解制作的要点。学生制作时,可采取小组分工合作的方法,教师在旁边给予指导,学生由于是自行设计(DIY),团队合作能力得到了很好的锻炼,自信心和成就感也得到了满足。

四、焊接调试环节

成功制作完成了PCB板后,本环节是将所有的电子元器件焊接在PCB板上。这一过程中强调芯片的正确安装,方法是先焊接芯片底座,再插上芯片。所有焊接完成后,接上直流稳压电源进行电路调试。比如第一环节仿真实验中的流水灯电路,经调试后就可以看到八个发光二极管循环轮流发光,还可以将示波器接在电路中,以便观察和测量电路的波形。

数字电路基础问答题总结 第5篇

对于模拟电路大家都觉得比较难,确实模拟电路数字电路在计算机专业中的学分比重比较大,内容比较多,理解起来比较困难,但是我们却不能对他放松警惕,我们不要再模拟数字的文章中搞运,要将它们把握在手心中玩弄。做到这点就要在学习中学会翻身。

先让我来说说我的学习方法吧!我这个学期报了模拟数字电路的辅导班,这样大家可能觉得我学习起来会比较轻松,其实不然,我没有好好学,其中有一些傲气在里面,总觉得中专的时候学过(3年前),自己就了不起了,而且我是一个爱睡懒觉的人,早上6点起床,做一个小时的公交车去上学,我真受不了,除了打瞌睡根本就没有学到知识。所以上到一半我就放弃了。

我觉得要是学好它,至少懂一些的话,最好是先把书看1到3遍,并且做过课后习题。但不是说没有看过3遍就不能过这门课程,现在就由我来带领大家复习一下,告诉你模拟数字考试不难。

我就对模拟电路考试的80分题做一下概括性的总结。因为模拟电和数字电路的图和公式比较多,限于时间的紧迫我只说明书中的位置,所以这里要求大家能够独自找到书中的内容,并做进一步的了解。

大题总结:

模拟部分

一、非单一参数的交流电路(5分,一道选择,一道大题)

通过上面2个图我就总结出,非单一参数电路的基本特性,如果个组件串联,那么他们的电流就是相同的,而电压呢?因为根据单一参数的交流通路可知,电感的电压超前点流90度,电容的电压邂逅点流90度,因此如图a的坐标轴可以知道各个元件之间的关系,然后根据这个公式,就可以求出每个点流、点压、电阻、阻抗得值来(有些条件是给定的)。对于并联电路同理可知。

提出几个注意的地方:

1、并联电路电压固定,串联电路电流固定

2、当Xl>Xc时,成感性;Xl

3、有功功率的求法。

二、戴维南定理的应用(8分)

对于这个是第二章的重点,具体的内容请大家自己看书吧!做几道题就全明白了。掌握的内容是:

1、负载开路后的两端电压(选择会有一个求电位的题:1分)

2、等效电阻的求法,电流源开了,电压源短路(选择会有一道求等效电阻的`题:1分)

3、会画等效电路

三、单管放大电路

这里提出3个重点:(具体内容看第5章)

1、共发射极交流放大电路,p91页;

2、分压式偏置共射极放大电路,p102页;

3、共集电极放大电路(设计输出器),p104页。

对于这三个放大电路的静态工作点,和Au、ro和ri的求法一定要会。不要混淆,主要是掌握各个的微变等效电路和支流通路的画法,然后进行总结,看看你对他有什么见解,提示:最好搞明白他们的关系是怎么出来的,这样记忆会比较容易。

四、集成运放(12分,两道题)

对于这12芬我觉得是最容易的了,这是第7章的内容,见意大家把书上各个电路的放大公式记下来,然后就没问题了。

基本的就4个:

1、反相输入比例运算;

2、同相输入比例运算;

3、积分运算电路;

4、电压比较器(知道什么是参考电压)。

这是我认为最基本的4个,其它的可以是他们的结合,还有加入稳压管和二极管的电路需要大家进行分析。

五、用卡诺图化检逻辑函数(4分)

没什么可说的,不会就不要考了。提出一点注意,就是四个角有1的直可以画成一个大圈。

六、对于放大电路的分析(4分)

这个基本上都比较容易,有这样的可能:

1、没有偏置电阻,也就是说Ib=0,没有电流。

2、没有输出电压,可能被电容短路掉。

数字部分

七、组合逻辑电路的分析(4-8分)

这是第三章的内容,主要是知道分析电路的步骤,会设计简单的逻辑电路,不要忘记对逻辑表达式进行画简,要求会写出电路的真值表,基本就没什么问题了。

八、写出ROM阵列逻辑和PLA阵列逻辑的函数表达式(4分)

这个容易,知道概念就成了,没问题的,书上p308和310页。

九、分析时序电路(8分)

这可是数字电路的重头戏,其实也没什么可说的,就是要把那4中基本触发器记下来,特征方程不要忘记(选择题有一道,填空一道,2分),然后知道分析的步骤,一步一步来,就ok了。

对于各个小题的补充:

有几个选择题我已在上边的内容中提到了,就不再重复了。还有几个一定会考的我说一下:

1、555定时器;

2、OCL互补对称电路;

好了基本就这些吧,总共80分的题,要是把握住了,模拟电路数字电路你说难么?

.COM

数字电路总结 第6篇

第一章数制和编码

1. 能写出任意进制数的按权展开式;

2. 掌握二进制数与十进制数之间的相互转换;

3. 掌握二进制数与八进制、十六进制数之间的相互转换;

4. 掌握二进制数的原码、反码及补码的表示方法;

5. 熟悉自然二进制码、8421BCD码和余3 BCD码

6. 了解循环码的特点。

第二章 逻辑代数基础

1. 掌握逻辑代数的基本运算公式;

2.掌握代入规则,反演规则,对偶规则;

熟悉逻辑表达式类型之间的转换---“与或”表达式转化为“与非”表达式;

3. 熟悉逻辑函数的标准形式---积之和(最小项)表达式及和之积(最大项)式表达式。(最小项与最大项之间的关系,最小项表达式与最大项表达式之间的关系)。

4. 了解正逻辑和负逻辑的概念。

第三章:数字逻辑系统建模

1.熟悉代数法化简函数

(AABA,AABAB, ABACBCABAC, A+A=AAA=A)

2.掌握图解法化简函数

3.了解列表法化简函数(Q-M法的步骤)

4.能够解决逻辑函数简化中的几个实际问题。

a.无关项,任意项,约束项的处理;

b.卡诺图之间的运算。

5.时序逻辑状态化简

掌握确定状态逻辑系统的状态化简;

了解不完全确定状态逻辑系统的状态化简。

第四章:集成逻辑门

1. 了解TTL“与非”门电路的简单工作原理;

2. 熟悉TTL“与非”门电路的外特性:电压传输特性及几个主要参数,输出高电平,输出低电平、噪声容限、输入短路电流、扇出系数和平均传输延迟时间。

3. 熟悉集电集开路“与非”门(OC门)和三态门逻辑概念,理解“线与”的概念;

4. 掌握CMOS“与非”门、“或非”门、“非”门电路的形式及其工作原理。

5. 熟练掌握与、或、非、异或、同或的逻辑关系。

7.掌握R-S、J-K、D、T触发器的逻辑功能、特征方程、状态转换图、状态转换真值表。不要求深入研究触发器的内部结构,只要求掌握它们的功能,能够正确地使用它们;

8.了解触发器直接置 “0”端RD和直接置“1”端SD的作用。

9.了解边沿触发器的特点;

10.熟悉触发器的功能转换。

11.了解施密特电路、单稳态电路的功能用途;

212.了解ROM、PROM、EPROM,EPROM有何不同;

13.能用PLD(与或阵列)实现函数

第五章: 组合逻辑电路

1、熟悉组合逻辑电路的定义;

2、掌握组合电路的分析方法:根据电路写出输出函数的逻辑表达式,列出真值表,根

据逻辑表达式和真值表分析出电路的路基功能。

3、掌握逻辑电路的设计方法:根据设计要求,确定输入和输出变量,列出真值表,利

用卡诺图法化简逻辑函数写出表达式,画出电路图。

4、掌握常用组合逻辑部件74LS283)、74LS85)、74LS138)、四选一数据选择器和八选

一数据选择器74151的应用(利用138译码器、八选一数据选择器实现组合逻辑函数等)。

5、了解组合电路的竞争与冒险。

第六章: 同步时序电路

1. 了解时序电路的特点(定义);

2. 记住时序电路的分析步骤,掌握时序电路的分析方法,能够较熟练地分析同步时序

电路的逻辑功能。

3. 记住时序电路的设计步骤,掌握时序电路的设计方法,会同步时序电路的设计(含状

态化简)。

第七章: 常用时序逻辑部件

4. 了解常用的时序逻辑部件,如各种计数器(74LS161、74LS163、74LS193)、移位寄

存器(74LS194)及寄存器;不要求详尽的去研究其内部电路,但能够应用时序逻辑部件构成给定的逻辑功能。

5. 会看时序逻辑部件及组合逻辑部件的功能表,根据功能表掌握其逻辑功能、典型应

用及功能扩展

6. 掌握掌握连成任意模M同步计数器的三种方法:预置法,清0法,多次预置法;

7. 掌握序列码发生器的设计过程

第八章 了解A/D,D/A转换的基本原理。

思考题

1. BCD码的含义是什么?

2. 数字电路的特点是什么?

3. 三态门的特点是什么,说明其主要用途?

4. OC门的特点是什么,说明其主要用途?

5. TTL集成逻辑门的基本参数有哪几种?

6. 什么是“与”逻辑关系、“或”逻辑关系、“非”逻辑关系?

7. 什么是“同或”逻辑关系、“异或”逻辑关系?

8. 简化逻辑函数的意义是什么?

9. 几种数制如何进行相互转换?

10. 怎样取得二进制数的原码、反码和补码?

11. 将十进制数125编写成8421BCD码和余3BCD 码;

12. 什么是最小项及最小项表达式?

13. 怎样用代数法化简逻辑函数?

14. 怎样用卡诺图法化简逻辑函数?

简化后的逻辑表达式是。

A.唯一B.不唯一

C.不确定D.任意。

15. 什么是组合电路?什么是时序电路?各自的特点是什么?

16. 组合电路的表示形式有几种,是哪几种?

17. 组合电路的分析步骤是什么?

18. 组合电路的设计步骤是什么?

19. 半加器与全加器的功能有何区别?

20. 译码器、编码器、比较器如何进行级联?

21. 如何用数据选择器实现逻辑函数?

22. 竞争与冒险的起因是什么?

23. D触发器与J-K触发器的特征方程和状态转换图是什么?

24. 如何用J-K触发器实现T触发器?

25. 什么是同步时序电路和异步时序电路?其特点是什么?

26. 同步时序电路的分析步骤是什么?

27. 同步时序电路的设计步骤是什么?

28. 全面描述时序电路的方程有几个?是哪几个?

29. 状态化简的意义是什么?怎样进行状态化简?

30. 怎样用中规模同步集成计数器设计任意模值计数器?

31. 怎样用移位寄存器构成环形计数器?

32. 什么是ROM?什么是RAM?

33. PLD、PLA、GAL、PAL,FPGA、CPLD的含义是什么?

34. 画出ADC工作原理框图,写出三种ADC电路的名称。

35. 计算R-2R网络DAC的输出电压。

36. 欲将正弦信号转换成与之频率相同的脉冲信号,应用

脉冲与数字电路实验总结 第7篇

脉冲与数字电路接收和处理的都是脉冲式离散信号。相较于模拟信号,它更易于复制、修饰;所有的数字信号都是有不同的电平保持长度的高低电平携带。且高低电平的区分有很大的容差,这使它具有更强的抗干扰性和精确性。

在一个学期的学习过程中,我们共做了六个相关实验,包括:门电路逻辑功能及测试,组合逻辑电路,触发器R-S、D、J-K,三态输出触发器及锁存器,时序电路测试及研究,译码器和数字选择器。设计数字集成器件:74LS00,74LS10,74LS20,74LS86,74LS04,74LS54等等。

学习并深入了解门集成块门、非门及其扩展门电路,分组合应用以达到不同的逻辑设计效果。

在数电理论的指导下,使用合成实验面包板进行试验。配有高低电平输入开关盒发光二级管指示操作和测试逻辑电路的性能。整体来说,数电试验中,虽然接线较为复杂,但其效果着实非常客观和准确。有利于工科学生形成严谨细致的学术作风。

最后,感谢我的实验老师,在整个学习和实验过程中对我尽心的指导和帮助。感谢我的同组学生,在实验进程中对操作及理论的见解交流。使我顺利并成功的完成了各项试验,获得对知识的进一步理解。

本文来自 99学术网(www.99xueshu.com),转载请保留网址和出处

【数字电路基础问答题总结】相关文章:

数字电路基础09-22

《数字电路基础》教学中protus仿真软件的应用09-13

数字电路09-04

中职数字电路07-26

数字触发电路08-02

数字电路课程教学06-18

数字电路设计06-30

数字电路论文提纲08-09

数字电路学习笔记06-17

数字电路实验报告06-18

上一篇:七夕表白情话祝福下一篇:世界读书日学生作文