数字电路教学大纲

2024-09-21

数字电路教学大纲(精选8篇)

数字电路教学大纲 第1篇

《数字电路》教学大纲

一、课程基中、信息

1、课程中文名称:数字电路

2、课程类别:必修

3、适用专业:教育学教育技术 4课程地位:基础课

5、总学时数:72学时(其中理论课60学时,实验课12学时)

6、总学分:4学分

7、先修课程:电路分析

模拟电路

二、课程目标

《数字电路》是教育技术专业一门主要的基础课,通过本课程的学生,使学生掌握数字电子技术的基本概念,基本原理和基本的分析、设计方法。熟悉典型基本单元电路的组成及工作原理。学会对数字电路系统的读图。

三、课程内容

第一章

数字逻辑基础(3学时)

[教学目的与要求]

1、掌握数字信号、数字逻辑的基本概念

2、掌握数字电路的特点

3、了解数制的特点

第一节 模拟信号和数字信号

1.1.1 模拟信号和数字信号比较 1.1.2 数字信号的表示方法

第二节

数字电路

1.2.1 数字电路的发展与分类 1.2.2 数字电路的分析方法

第三节 数制 1.3.1 十进制和二进制 1.3.2 十一进制之间的转换 1.3.3 十六进制和八进制

第四节 二进制码 第五节 基本逻辑运算 第六节 逻辑函数逻辑问题的描述

第二章 逻辑的电路(19学时)

[教学目的与要求]

1、熟悉二极管、三极管的开关特性

2、掌握通用门电路的逻辑功能和特性

第一节

二极管开关特性 第二节

三极管的开关特性

2.2.1 三极管的开关特性 2.2.2 三极管的开关时间

第三节

基本逻辑的电路

2.3.1 二极管与门及或电路 2.3.2 电路

第四节 TTL逻辑的电路

2.4.1 TTL反相器

2.4.2 TTL反相器的传输、特性 2.4.3 TTL与门电路

2.4.4 TTL与外门的技术参数

2.4.5 TTL或外门、集电极开路门和三态门电路 2.4.6 改进型TTL门电路—抗饱和TTL电路

*第五节

CMOS逻辑门电路

2.5.1 CMOS 反相 2.5.2 CMOS门电路 2.5.3 BicMos门电路

第六节 正负逻辑问题

第七节 逻辑的电路使用中的几个实际问题

2.7.1 各种门电路之间的接口问题 2.7.2 门电路带负载时的接口电路 2.7.3 抗干扰措施

第八节 CAD例题

第三章

组合逻辑电路的分析与设计(6学时)

[教学目的与要求]

1、掌握逻辑函数的化简方法

2、掌握分析和设计组合逻辑电路的方法

第一节

逻辑代数

3.1.1 逻辑代数的基本定律和恒等式 3.1.2 逻辑代数的基本规则 3.1.3 逻辑函数变换化与简法

第二节 逻辑函数的卡借图化简法

3.2.1 最小项的定义及性质 3.2.2 逻辑函数的最小项表达式 3.2.3 用卡诺图化简逻辑函数

第三节

组合逻辑电路的分析 第四节

组合逻辑电路的设计

*第五节 组合逻辑电路中的竞争冒险

3.5.1 竞争冒险的概念及产生方法原因 3.5.2 消除竞争冒险的方法

*第六节 CAD例题

第四章 常用组合逻辑功能器件(6学时)

[教学目的与要求]:

掌握常用组合逻辑功能器件的结构、功能及应用

第一节 编码器

4.1.1 编码器定义与功能 4.1.2 集成电路编码器

第二节 编码器和数据分配器

4.2.1 译码器的定义及功能 4.2.2 集成电路译码器 4.2.3 数据分配器

第三节 数据选择器

4.3.1 数据选择器的定义及功能 4.3.2 集成电路数据选择器

第四节 数值比较器

4.4.1 数值比较器的定义及功能 *4.4.2 集成数值比较器

第五节 算术运算电路

4.5.1 半加器和全加器 *4.5.2 多位数加法器

*第六节 CAD例题

第五章

触发器(6学时)

[教学目的与要求]

1、掌握触发器的电路结构与工作原理

2、掌握触发器的功能及应用

第一节

触发器的电路结构与工作原理

5.1.1 基本RS触发器 5.1.2 同步RS触发器 5.1.3 主从触发器 5.1.4 边沿触发器

第二节

触发器的功能

5.2.1 RS触发器 5.2.2 JK触发器 5.2.3 J触发器 5.2.4 D触发器

*第三节

触发器的工作特性及主要参数

5.3.1 触发器的工作特性及主要参数 5.3.2 触发器的主要参数

*第四节 CAD例题

第六章

时序逻辑电路的分析和设计(8学时)

[教学目的与要求]:

掌握时序逻辑电路的分析和设计方法

第一节

时序逻辑电路的基本概念

6.1.1 时序逻辑电路的结构及特点 6.1.2 时序逻辑电路的分类

6.1.3 时序逻辑电路的功能的描述方法

第二节 时序逻辑电路的分析方法 6.2.1 分析时序逻辑电路的一般步骤 6.2.2 同步时序逻辑电路的分析举例 6.2.3 并时序逻辑电路的分析举例

第三节 同步时序逻辑电路的设计方法

6.3.1

同步时序逻辑电路的设计的一般步骤 6.3.2 同步时序逻辑电路的设计举例

*第四节 CAD例题

第七章

常用时序逻辑功能器件,(6学时)

[教学目的与要求]

掌握常用时序逻辑功能器件的结构、特性、功能及应用

第一节

计数器

7.1.1 二进制计数器 7.1.2 非进制计数器 *7.1.3 集成计数器

第二节 寄存器和移位寄存器

7.2.1 寄存器 7.2.2 移位寄存器

7.2.3 集成移位寄存器74194

*第三节

CAD例题

第八章

半导体、存储器和可编程逻辑器件(4学时)

[教学目的与要求]

1、掌握RAM和ROM的电路结构工作论理与应用

2、掌握PLD的电路表示方法

3、了解PAL及GAL的应用

第一节

随机存取存储器(RAM)

8.1.1 RAM的电路结构与工作经验 8.1.2 RAM存储容量的扩展 8.1.3 RAM举例

第二节

G读存储器(ROM)第三节

可编程逻辑器件(PLD)

8.3.1

PLD的电路表示法

8.3.2

可编程陈列逻辑器件(PAL)简介 8.3.3 可编程通用陈列逻辑器件(GAL)

第九章

脉冲波形的产生与变换(6学时)

[教学目的与要求]

1、掌握多谐振荡器、单稳态触发器、施触发器的组成及应用

2、掌握555定时器的应用

第一节

多谐振荡器

9.1.1 门电路组成的多谐振荡器 9.1.2石英晶体振荡器

第二节

单稳态触发器

9.1.1 门电路组成的微分型单稳态触发器 9.1.2 集成单稳态触发器 9.1.3 单稳态触发器的应用

第三节

施密物触发器

9.3.1 门电路组成的施密物触发器 9.3.2 集成施密特触发器 9.3.3 施密特触发器的应用

第四节

555定时器及应用 9.4.1 555定时器 9.4.2 定时器应用举例

*第五节

CAD例题

第十章

D/A与A/D转换器(4学时)

[教学目的与要求]

掌握D/A与A/D转换器的电路结构、转换论理及应用

第一节

D/A转换器

10.1.1 倒T型电阻网络D/A转换器 10.1.2 权电流型D/A转换器 10.1.3 D/A转换器的输出方式 10.1.4 D/A转换器的主要技术指标 *10.1.5 集成D/A转换器及其应用

第二节

A/D转换器

10.2.1 A/D转换器的一般工作过程 10.2.2 并行比较型A/D转换器 10.2.3 逐次比较型A/D转换器 10.2.4 双积分A/D转换器 10.2.5 A/D转换器的主要技术指标 *10.2.6 集成A/D转换器及其应用

*第三节 CAD例题

四、教学方法

1、课堂教学,得视讲课艺术和方法多

2、重视学生能力的培养

(1)运用启发式教学,即采用预习讲授、就自学相结合、讲授与学生回答相结合,讲授课文内容与新技术、新知识相结合。

(2)重视实验与实践

(3)指导学进行电路设计及写小论文

3、因材施教(1)认真批改作业、了解学生的学习情况及差异情况。(2)认真进行课堂及课外辅导

4、运用教具,采用自制课件实行多媒体教学

五、1、课程考核

1、平时成绩考核,占总成绩30%,分配如下:(1)课堂提问及午时作业

占10%(2)实验成绩

占10%(3)期中考试

占10%

2、期末考试成绩

六、教材与参考书

1、教 材

康华光

主编

电子技术基础

数字部分(第四版)

北京:高等教育出版社

2001年

2、参考书

[1]阊石 主编 数字电子技术基础(第四版)

[2]余孟尝 主编 数字电子技术基础简明教材(第二版)

北京:高等教育出版社

1994年 [3]唐竞新 数字电子技术基础 解题指南

北京:清华大学出版社

1993年

七、其 他

特 色:

1、教材内容安排:精选内容、推陈出新

2、重视对学生进行基本概念、基本电路工作经验和基本分析方法的培训。

3、重视理论联系实际

4、该大纲增加了新内容、新技术。

数字电路教学大纲 第2篇

英文名称:Digital Electronic Technology 学 分:4学分 学 时:64学时 理论学时:50学时 实验学时:14学时 先修课程:电路分析、低频电子线路

适用专业:电子信息工程专业、电子信息科学与技术专业

教学目的:

使学生在学完普通物理、电路分析基础等课程的基础上,系统地学习数字电子技术的基础理论、基本概念和基本方法,掌握数字电路设计的理论和方法,为今后深入学习数字电子技术领域的内容,以及为数字的技术在专业中的应用打好基础。

教学要求:

深刻理解数字电路的基本理论、基本概念和基本方法,熟练掌握数字逻辑电路与系统的分析方法,加强实践环节,使学生接受严格和系统的实验操作训练,提高学生使用仪器、测试电路和排除电路故障的能力,具备正确运用数字集成电路的能力。

教学内容:

第一章 制数、码制与半导体器件开关运用特性(2学时)1. 数制

2. 带符号数的代码表示 3. 数的定点表示与浮点表示 4. 数码和字符的代码 5. 半导体器件的开关特性

基本要求:

熟知数制与码制的概念、表示方法、性质及相互转换,掌握二极管、三极管MOS管的开关运用特性。

重 点:

二极管、三极管、MOS管的开关运用特性。难 点:

MOS管的开关运用特性。

第二章 逻辑代数基础(4学时)1. 逻辑代数的基本概念 2. 逻辑代数的基本定理及规则 3. 逻辑函数表达式的形式与变换 4. 逻辑函数的化简

基本要求:

深刻理解逻辑代数的基本概念,基本定理和规则,及逻辑函数的表示形式,熟练掌握化简逻辑函数的表示方法——公式法和图形法。重 点:

逻辑代数的基本定理和规则,逻辑代数的化简。难 点:

逻辑函数的代数化简法。

第三章 逻辑门电路(4学时)1. 基本逻辑门电路 2. 集成逻辑门电路 3. TTL与CMOS电路的连接

基本要求:

熟知基本逻辑门电路以及集成逻辑门电路工作原理和外特性,熟练掌握TTL与非门及其它功能的TTL、CMOS逻辑门。

重 点:

TTL与非门及其它功能的TTL门,MOS反相器及逻辑门、CMOS反相器及逻辑门。难 点:

TTL门和OC门的使用区别,三态门的特点。

第四章

组合逻辑电路(8学时)1. 组合逻辑电路的分析 2. 组合逻辑电路的设计 3. 典型组合逻辑电路设计 4. 组合逻辑电路的险象

基本要求:

熟练掌握组合逻辑电路的分析方法,深刻理解全加器、代码转换、数值比较、译码、数据选择、数据分配、奇偶检测等典型电路的概念和功能,掌握它们的分析和设计方法。

重 点:

组合逻辑电路的分析,组合逻辑电路的设计,译码电路、数据选择电路。难 点:

组合逻辑电路的分析和设计方法。

第五章

触发器(6学时)1. 基本触发器

2. 几种常用的钟控触发器 3. 不同类型钟控触发器的相互转换 4. 集成触发器的主要参数

基本要求:

深刻理解触发器的性质,熟练掌握其功能,理解触发器的结构,熟练其触发方式,了解触发器的参数。

重 点:

触发器的逻辑功能、时钟触发器的触发方式。难 点: 触发器的触发方式。第六章 时序逻辑电路(8学时)1. 同步时序逻辑电路

同步时序逻辑电路的分析;同步时序逻辑电路的设计;典型同步时序逻辑电路设计举例 基本要求:

熟练掌握时序逻辑电路的分析方法和设计方法,深刻理解计数器、寄存器、序列检测器等典型的时序逻辑电路的概念和功能。掌握它们分析和设计方法。

重 点:

同步时序逻辑电路的分析和设计方法,计数器,寄存器。难 点:

时序逻辑电路的设计方法、分析和作时序波形图。

第七章 采用大、中规模集成电路的逻辑设计(8学时)1. 译码器 2. 多路选择器 3. 二进制并行加法器 4. 数值比较器 5. 计数器 6. 寄存器

7. 大规模逻辑器件及其应用

基本要求:

深刻理解几种常见的中规模集成电路(译码器、多路选择器、数值比较器、加法器、寄存器、计数器)的外部特性和逻辑功能,了解可编程逻辑器件的基本类别、电路结构和工作原理、熟练应用中、大规模集成电路进行逻辑电路设计。

重 点:

译码器、串路选择器、数值比较器、寄存器、计数器、只读存储器ROM、可编程逻辑阵列的应用。

难 点:

中规模逻辑芯片的应用。

第八章 脉冲的产生和整形(6学时)1. 555定时器 2. 施密特滋发器 3. 单稳态触发器 4. 多谐振荡器

基本要求:

深刻理解555定时器的电路组成和功能,熟练掌握施密特触发器、单稳态触发器及多谐振荡器电路构成及其应用。

重 点:

施密特触发器、单稳态触发器、多谐振荡器。难 点:

用555定时器构成的单稳、多谐、施密特电路的波形和参数计算。第九章 数/模和模/数转换(4学时)1. 数/模转换器DAC 2. 模/数转换器ADC 基本要求:

理解数模转换器的基本概念,熟练掌握权电阻求和网络DAC和R-2R梯形电阻网络DAC的电路形式和工作原理,掌握R-2R倒梯形电路网络DAC的电路形式和工作原理,理解模数转换器的组成及一些基本概念,掌握并行比较器ADC,双积分裂ADC,逐次比较型ADC的电路形式和工作原理,了解电压频率转换器VFC的电路形式和工作原理。

重 点:

权电阻求和网络DAC和R-2R梯形电阻网络DAC以及并行比较ADC。难 点:

权电阻求和网络DAC和R-2R梯形电阻网络DAC以及并行比较ADC。

实验教学:

1. 基本门电路的逻辑功能及验证(2学时)验证性实验

基本要求:

熟悉双踪示波器的使用,熟悉TTL与非门外形和管脚引线排列,加深对与非门逻辑功能的认识。熟悉数字电路实验箱。重 点:

门逻辑功能的验证和分析。难 点:

门逻辑功能的验证和分析。

2. 半加器和全加器(2学时)验证性实验

基本要求:

熟知半加器和全加器逻辑功能及其使用方法,掌握中规模组合逻辑电路的设计方法。重 点:

电路逻辑功能的验证和电路的设计。难 点:

电路设计和实验过程中电路故障的排除。

3. 集成触发器及其应用(2学时)验证性实验

基本要求:

掌握触发器的功能及使用方法,学习简单时序电路设计。重 点:

触发器功能测试,简单时序电路设计。难 点:

时序分析和波形测量分析。

4. 计数器及其应用(2学时)验证性实验

基本要求:

掌握同步和异步计数器的设计步骤。重 点:

计数器的设计和逻辑分析。难 点:

时序电路的设计和信号分析测量。

5.555定时器及其典型应用(2学时)验证性实验

基本要求:

熟知555定时器的基本功能。掌握555定时器的应用,进一步提高使用示波器观察示波形和测量时间参数的能力。

重 点:

用555定时器构成多谐振荡器和施密特触发器。难 点:

振荡器和施密特触发器的构成,用示波器进行波形的测量和分析。

6. 抢答电路设计实验(4学时)设计性实验

基本要求:

熟悉数字组合逻辑电路和时序逻辑电路的设计方法、调试方法,进一步的掌握集成芯片的应用。

重 点: 芯片的应用和调试。难 点:

综合电路的设计和芯片应用。

参考教材:

1.康华光 电子技术基础(数字部分)(第四版)高等教育出版社 2000 2.李士雄 数字集成电子技术教程 高等教育出版社 1998 3.阎石 数字电子技术基础(第四版)高等教育出版社 2000 4.欧阳星明主编 数字系统逻辑设计 电子工业出版社 2003 5.Alan B.Marcovitz Introduction to logic design.Publishing House of Electronics industry 2003

数字电路教学大纲 第3篇

由于学生在模拟电路的实习中已做过模拟循环灯电路, 为了使学生更好地掌握新的知识内容, 现将模拟循环灯与数字循环灯电路 (见图1、图2) 进行对比讲解。

将两个电路放在一起, 对学生进行提问:哪个电路简单?哪个电路的元器件少?有谁了解计算机的发展史?

由计算机的发展史让学生了解到, 集成芯片是在模拟元件之后发展起来的。集成芯片是把电子器件集成在一块半导体材料上制作而成的。集成芯片的出现和发展将使电子产品朝着越来越小的方向发展。

二 了解集成芯片

在对电路图有了了解之后, 对电路中主要元件 (集成芯片) 进行讲解。

首先, 介绍芯片555的管脚图 (见图3) 。以555芯片为例, 讲解芯片管脚及管脚顺序的识别方法 (见图4) 。

其次, 以4040、4017为例, 介绍芯片的电源、接地、CLK、RST、ENA管脚的功能。

最后, 总结芯片中电源、接地管脚位置 (大部分芯片的最大管脚为电源脚、电源脚对角线的管脚为接地脚) 。芯片正常工作电源与接地必须连接好。对于芯片, 需要了解输入、输出的管脚, 输入信号与输出信号之间关系, 以及芯片正常工作需具备的条件。

三 电路分析

在学生了解数字芯片的管脚和功能之后, 对每个芯片及周围元件组成的电路进行分析讲解。

1. 电路框图 (见图5)

2. 电路工作原理

第一, 时钟信号电路。该电路的主要器件是555定时器, 由它外接R1、R2、C1和C2构成, 是一个多谐振荡器, 产生的数字周期信号T=0.7 (R1+2R2) C1, 该周期信号送到分频器CD4040的CLK端。

第二, 分频器。分频器的作用是将时钟信号电路产生的信号进行频率变换。CD4040计数工作时, Q1是CP脉冲的二分频;Q2又是Q1输出的二分频……所以有T1=2T, T2=2T1=4T, …, TN=2nT。

第三, 分配器。分配器的作用是将连续的周期信号一一取出来, 然后顺序输出。CD4017输出高电平的顺序分别是3、2、4、7、10、1、5、6、9脚。本电路只接3、2、4、7脚作输出, 10脚接复位端RST, 工作中当10脚为高电平时电路复位, 输出又可以按3、2、4、7脚的顺序输出高电平, 这样发光二极管被循环点亮。

第四, 四路发光二极管。选用不同颜色的发光二极管构成循环彩灯。

四 调试电路

在电路原理讲解完之后, 引导学生去思考电路的调试方法和调试步骤。并总结如下:第一, 通电前检查。用万用表检查元件管脚有没有错连、没连上, 各芯片是否都接电源和地, 检查+5V电源与接地端是否有短路现象。第二, 通电中检查。按照电路信号流程, 从左到右检测每一部分电路的信号输出是否正常。如果输出不正常, 检查芯片正常工作的条件是否具备。

五 提问

问1:如何能加快循环灯的循环速度?问2:要增加一路发光二极管, 应如何修改电路?问3:如何减慢循环灯的循环速度?通过以上提问检查学生对电路的掌握程度, 同时可以引导学生对电路的进一步分析。

六 结束语

通过数字循环灯电路的实习教学, 让学生了解数字电路的特点, 并掌握数字电路的分析方法。过程中注意培养学生独立思考和分析的能力, 使学生从被动接受到自主学习, 真正提高学生能力。

摘要:在职业技术学校电子实习的教学中, 模拟电路实习结束后, 将开展数字电路的实习教学。为了使学生在数字电路实习中掌握得更好, 现以“数字循环灯电路”为例来探究此教学进程。

有关数字电路创新教学的思考 第4篇

【关键词】 数字 电路 创新 教学

前言:

21世纪是一个信息化的时代,这个信息化我们又称为是数字化时代,在当今的数字化的地球中,人们对数字化这个概念以及是很了解了,现在的人们已经是和数字紧密的联系起来了,像我们每一个人的身份证号码、手机号、银行卡号等等,现在的数字已经不完全是1、2、3了,他已经发展到了用数字标记以及管理社会。今后,我们的生活就是用数字代码来运用以及管理的,这些复杂的信息资料是可以用一些简单的数字来代替的,因此有了这些的基础就会给我们的生产以及生活带来很大便利,担任这项任务就是运用数字电路为基础的一些数据的采集以及分析等等管理系统。

1. 数字电路教学现状

1.1我们传统的数字电路实验教学是依照课程的顺序来进行开课的,就是根据实验的目的以及课程的设计实验等,这些都是围绕着一些基础的理论性的东西来设计的实验的,这样的实验一般是小规模的电路实验,大规模的实验是比较少的,同时也不会考虑到每个实验之间的连接,学生往往缺少大型数字电路实验的训练机会,这样都是不会培养出学生的综合能力。这样的实验是不会培养出当代高素质人才的,也不符合我国素质教育以及创新能力陪养的要求。现代的新型实验的实验结构这些都是在实验的内容上在不断的深化,而且还需要体现实验的系统性综合性和创新性。

1.2当前我们所开设的数字电路实验的一些基本的内容,几乎都是对某些理论性教学来进行的简单验证和基础实验的技能训练,在实验的内容上是很老化的,在手段的运用上也是比较单一的。以往传统的验证性实验虽然可以加深学生对理论知识的理解,但仍届于获取间接知识的渠道。当学生进行传统的验证性实验时知识结论已先入为主地占据了学生的头脑,当实验数据与理论不相符合时,学生往往不去追求事实的真相,而是违背认识以理论为本,去修正实验数据,重蹈理论第一的覆辙的规律。而高校人才的培养则应使学生通过实验亲身体验直接知识的获取,并从中接受和理解间接知识,真正懂得实践才是获取真知的主要渠道。

2. 数字电路的特点:

数字电路中只处理二进制中的“0”和“1”两种信号,“0”表示信号无,“1”表示信号有。从电路硬件这一角度上讲,电子电路中的元器件特别是三极管只工作在有信号和无信号两种状态,也就是数字电路中的三极管多伴工作在开关状态,不像模拟电路中的三极管工作在放大状态。数字电路是实现逻辑功能和进行各种数字运算的电路。数字信号在时间和数值上是不连续的,所以它在电路各只能表现为信号的有、无两种状态。数字电路中用二进制数“0”和“1”来代表低电平和高电平两种状态,数字信号便可用“0”和“1”组成的代码序列来表示。因此,学习数字电路首先要了解有关二进制数知识,否则对数字电路的分析将寸步难行。

3. 数字电路技术教学创新改革具体方案

3.1加强实验环节,培养学生分析、设计、组装及调试数字电路的基本技能。除了要求学生独立完成验证性实验和综合性、设计性实验外,还要求学生掌握小型实用数字系统的设计方法,能够独立的完成设计和调试过程,提高学习横的科学素养,增强创新意识,为后续专业课的学习和今后的工作打下良好基础。

3.2课程实验作为课程教学的一部分,起着巩固所学理论知识、培养动手能力的重要作用,实验教学改变了以验证理论实验为主的模式,硬件电路、基础实验到电子系统设计实验和创新实验的不同层次的实验。将电子设计竞赛等课外科技活动与实验教学紧密结合,注重培养学生的综合应用能力、工程实践能力和创新能力。实践环节以设计性为主,较好地培养了学生的动手能力与创新意识。通过更新实验内容、使实验和课程设计向综合性、设计性和开放性发展。课程设计题目多样性和自创性,针对不同层次的学生,提供多个可选择的设计题目,鼓励学生自创课题。课堂教学与课外教学相结合。利用我校多个实习基地,带学生到现场考察利用数字技术生产的各种通信和家电产品,通过对现场工艺文件和图纸资料的学习,进一步理解数字技术的应用,并引导学生提出自己的电路改进措施和方案。

3.3加强师资队伍建设。建设一支高水平的师资队伍不仅是搞好课程改革的前提,也似乎整个高等教育改革发展的重点和难点。为此,本课程在教学队伍组成上,注意选拔治学严谨,敬业奉献,热爱教育的教师承担教学任务。同时,定期开展教学研究活动,加强教学研究交流,为年轻教师制定切实可行的培养计划,配备教学经验丰富的指导教师。

3.4现代教育教学技术的使用。利用网络实施教学已经成为数字电路实验教学改革的内在需求。建立课程网站,充分发挥现代教育技术的优势,可以激发学生的学习兴趣和能动性。通过网站,可以为学生提供全部课题的相关资料、参考文献、网络实验内容,为学生的课前预习、课堂实验、课后总结和实验报告的撰写创造条件。学生还可以在网上进行虚拟实验解决实验室空间和时间有限的问题,将课堂进行有效的延伸。另外,网站还为教学交流提供了良好的环境,教师可及时发布教学信息,包括教学资料、教学安排、教学内容等,学生也可以及时反馈意见,教师进行在线辅导,拓宽了师生交流的平台。

3.5数字电路实验教材的建设。根据数字电路实验教学内容的改革,应该编写一套能体现现代教学思想和教学内容、体现数字电路实验教学特色的教材。以适用于不同的专业和不同层次的学生。在编写实验教材过程中,应明确教材使用对象所处实验技能训练阶段,引入新器件、新技术、新工艺和新方法。所选实验内容的次序及所选实验,教师都要反复验证过,以达到真正培养学生实验能力的目的。

结语:

总之,在数字电路的教学过程中,我们老师应该充分的加强对实验的教学,以及还有一些创新的教学方法,这些都会大大的提高我了学生的学习兴趣,进而使学生学习的效率得到了很大的改善,从而真正的达到了掌握这门课程教学的目的。

参考文献:

[1] 罗亚辉 李旭 康江 匡迎春.论电子学实验教学与创新能力的培养口[J].夸目科苑.2008.01.

[2] 熊娟 张晓伏.谈数字电路实验教学的改革[J].天中学刊.2010.04.

[3] 张兢 李成勇 李雪梅 徐伟.基于虚拟仪器技术的数字电路实验系统的设计与实现[J].重庆理工大学学报(自然科学).2010.06.

《数字逻辑电路》课程教学大纲 第5篇

第一章 数制与编码

在数字电路和计算机中,只用0和1两种符号来表示欣喜,参与运算的数也是由0和1构成的,即二进制数。考虑到人类计数习惯,在计算机操作时,一般都要把输入的十进制数转换为二进制数后再由计算机处理;而计算机处理的二进制结构也需要转换为便于人类识别的十进制数然后显示出来,因此,需要学习不同的数值及转换方法。

通过这一章的学习,学习者要理解数字电路的特点以及几种数制之间的转换方法 进一步学习后续内容打好基础;

本章的主要教学内容(教学时数安排:8学时): §1.1 概述

§1.2 数制与编码 §1.3 编码

第二章 逻辑代数

本章主要介绍逻辑代数的基本定理和定律,常用公式及三大规则(代入、反演、对偶)。

通过本章的学习熟悉逻辑代数的各种表示方法(真值表、表达式及逻辑图等),理解各种逻辑门的图形符号,理解最小项的基本概念及标准与或式的表示方法。掌握逻辑代数变换技巧及逻辑代数化简方法。

本章的主要教学内容(教学时数安排:8学时): §2.1 逻辑代数的基本概念 §2.2 逻辑代数的运算法则 §2.3 逻辑代数的表达式 §2.4 逻辑代数的公式简化法

第三章 门电路

本章介绍典型TTL集成电路的基本工作原理,典型TTL与非门主要外部特性(电压传输特性、输入特性、输出特性),OC门和TS门的图形符号及逻辑功能,及其正确应用的注意事项。

要了解典型TTL集成电路的基本工作原理,要求掌握典型TTL与非门主要外部特性(电压传输特性、输入特性、输出特性),熟悉一些主要参数,理解OC门和TS门的图形符号及逻辑功能,了解其正确应用及注意事项。了解MOS门电路(特别是CMOS门电路)的构成,熟悉逻辑特性。

本章的主要教学内容(教学时数安排:8学时): §3.1 概述

§3.2 体二极管和三极管的开关特性 §3.3 分立元件门 §3.4 TTL集成门

§3.5 其他类型的双极型集成电路 §3.6 MOS集成们

第四章 组合逻辑电路

本章主要介绍了掌握组合逻辑电路的分析方法,一些常用的组合逻辑电路,如加法器、数据选择器、数据分配器等,以及半导体数码管的基本结构和引脚符号的含义,组合逻辑电路的竞争冒险现象。

通过本章的学习,要掌握组合逻辑电路的分析方法,以识别给定电路的逻辑功能,能设计一些简单的,常用的组合逻辑电路,掌握编码器、译码器的基本概念及应用方法,了解半导体数码管的基本结构和引脚符号的含义,了解加法器、数据选择器、数据分配器的基本原理和应用,了解组合逻辑电路的竞争冒险现象。

本章的主要教学内容(教学时数安排:8学时): §4.1 概述

§4.2 若干常用的组合逻辑电路

§4.3 基于Verilog HDL的组合逻辑电路设计 §4.4 组合逻辑电路的竞争——冒险现象

第五章 触发器

本章主要介绍了基本RS触发器的组成、工作原理、逻辑功能及逻辑功能的描述方法,还有同步触发器的电路结构,逻辑功能,主要介绍了边沿JK触发器、T触发器、维持阻塞D触发器集成JK、D触发器。

通过本章的学习,要理解掌握基本RS触发器的组成、工作原理、逻辑功能及逻辑功能的描述方法,了解同步触发器的电路结构,熟记其逻辑符号、逻辑功能,并会熟练运用,掌握主从JK触发器、T触发器、维持阻塞D触发器的逻辑符号,逻辑功能;掌握集成JK、D触发器的使用常识。

本章的主要教学内容(教学时数安排:8学时): §5.1概述

§5.2 基本RS触发器 §5.3 钟控触发器 §5.4 集成触发器

§5.6 触发器之间的转换

§5.7 基于Verilog HDL的触发器设计

第六章 时序逻辑电路

本章主要介绍了时序逻辑电路的概念及与组合逻辑电路的区别,寄存器的电路组成、常见类型及逻辑功能,以及时序逻辑电路的分析方法和设计方法,重点介绍了常见的二进制、十进制计数器工作原理及功能,集成寄存器、计数器的工作原理与设计方法。本章是本课程的重要部分。

通过本章的学习,掌握时序逻辑电路的概念及与组合逻辑电路的区别,掌握寄存器的电路组成、常见类型及逻辑功能,熟练掌握时序逻辑电路的分析方法和设计方法,掌握常见的二进制、十进制计数器工作原理及功能,了解集成寄存器、计数器的使用常识。

本章的主要教学内容(教学时数安排:8学时): §6.1 概述

§6.2 数码寄存器和移位寄存器 §6.3 计数器 §6.4 基于Verilog HDL的时序逻辑电路的设计

第七章 脉冲单元电路

本章主要介绍脉冲波形的主要参数,555定时器、单稳态触发器、施密特触发器、多谐振荡器的电路组成、工作原理以及各种触发器的应用。

通过本章的学习后,要掌握脉冲产生和变换电路的调试方法熟悉脉冲波形的主要参数,掌握单稳态触发器、施密特触发器、多谐振荡器的电路组成和工作特点,掌握555定时器的功能。

本章的主要教学内容(教学时数安排:6学时): §7.1 概述

§7.2 施密特触发器 §7.3 单稳态触发器 §7.4 多谐振荡器

第八章 数模和模数转换

本章主要介绍了 A/D与D/A转换电路的概念及A/D与D/A转换的区别,A/D与D/A转换电路组成、常用参数、分辨率和误差。

通过本章的学习后,要掌握A/D与D/A转换电路的概念及A/D与D/A转换的区别,掌握A/D与D/A转换电路组成、常用参数、分辨率和误差,熟练掌握转换的使用环境和特定型号。

本章的主要教学内容(教学时数安排:6学时): §8.1 概述 §8.2 数模转换 §8.3 模数转换

第九章 程序逻辑电路

半导体存储器是程序逻辑电路中的主要组成部分。本章主要介绍了程序逻辑电路的结构和特点,然后系统的介绍了半导体存储器的工作原理和使用方法。

通过本章的学习后,要了解程序逻辑电路的结构和特点,并掌握半导体存储器的工作原理和使用方法

本章的主要教学内容(教学时数安排:4学时): §9.1 概述

§9.2 随机存储器 §9.3 只读存储器

§9.4 程序逻辑电路的应用

制定者:

执笔 校对者: 审定者:

数字电路与逻辑设计教学大纲 第6篇

适用专业:通信工程、信息工程、自动化、测控技术与仪器、电气工程及其自动化 课程类别:专业基础课 先修课程:电路原理 总 学 时:66 学

分:3 考核方式:考试

一、课程的性质与任务

本课程是信息工程、通信工程、自动化、测控技术与仪器和电气工程及其自动化专业学生必修的技术基础课程,是一门实践性很强的课程。通过本课程的学习,使学生掌握数字逻辑和数字系统的基础知识、基本分析方法和设计方法,培养使用标准逻辑器件的能力,初步了解可编程器件的知识,为深入学习后续课程和从事数字技术实际工作打下良好基础。

二、课程内容、基本要求与学时分配

1、绪论(2学时)

了解数字信号与模拟信号的定义与区别; 掌握各种数制间的转换; 了解常用的各种码制; 了解数字电路的分类;

2、逻辑函数及其化简(6学时)掌握布尔代数的运算规则;

掌握逻辑变量与逻辑函数的表示方法; 掌握逻辑函数的公式法化简法;

掌握卡诺图的绘制方法和用图解法化简逻辑函数;

3、集成逻辑门(6学时)

了解晶体管的开关特性;

了解TTL集成逻辑门的外部特性; 了解CMOS集成逻辑门的外部特性;

4、组合逻辑电路(8学时)掌握组合逻辑电路的分析方法;

掌握用逻辑门电路设计组合逻辑电路的方法; 掌握用中规模集成电路设计组合逻辑电路的方法; 了解组合逻辑电路的冒险现象;

5、触发器(8学时)

掌握各类触发器的特征方程和功能描述方法; 掌握基本触发器和钟控触发器的工作原理; 了解主从触发器和边沿触发器的工作原理;

6、时序逻辑电路(8学时)

掌握同步、异步时序逻辑电路的分析方法; 了解常用集成时序逻辑器件的使用方法;

掌握用小规模IC器件和中规模IC器件设计同步时序逻辑电路的方法; 了解异步时序逻辑电路的设计方法。

7、半导体存储器(2学时)

了解随机存取存储器和只读存储器的工作原理; 掌握随机存储器的扩展方法;

了解用只读存储器设计组合逻辑函数的方法;

8、可编程逻辑器件及其应用(2学时)

初步了解可编程逻辑阵列、通用阵列逻辑(GAL)、复杂可编程逻辑器件(CPLD)、现场可编程门阵列(FPGA)的结构特点和工作原理

9、脉冲单元电路(2学时)了解自激多谐振荡器的工作原理; 了解单稳触发器的功能;

了解555时基电路的结构特点和应用;

10、模数转换器和数模转换器(4学时)了解数模转换器和模数转换器的基本原理; 了解常用数模转换器和模数转换器的特性

本课程的理论教学时数为48学时,2.5学分。

三、课程的其他教学环节

本课程安排有实验教学环节18学时,0.5学分。

四、参考教材

1、《数字电子技术》庞学民主编 清华大学出版社 2005年

2、《数字电路逻辑设计》王毓银主编 高等教育出版社 1999年

3、《电子技术基础》数字部分(第四版)康华光主编 高等教育出版社 2000年

4、《数字逻辑与数字系统》白中英、岳怡、郑岩编著 科学出版社 1998年

五、说明

本课程在教学方法上采用讲授理论与实验动手相结合的形式进行,以便学生更好的理解所学的理论知识。在理论教学过程中,要注重方法的讲解,以提高学生分析问题、解决问题的能力。

大纲执笔人:刘炜

数字电路教学大纲 第7篇

一、课程性质、地位和作用

《脉冲与数字电路》是通信专业、电子工程专业的一门重要专业技术基础课,属核心必修课。本课程理论严谨、实践性和应用性强。其任务在于研究数字逻辑电路和脉冲电路的基本概念、基本理论和电路的分析与设计方法,为后续课程提供必要的理论基础,并为学生毕业后从事日新月异发展的数字电子科学技术提供一定的适应能力与基础。

二、课程教学对象、目的和要求

计算机类专业课程教学目的及要求:

(一)从内容上,应使学生牢固掌握各种进制数的相互转换;数字系统中常用的编码;逻辑代数的基本公式、定理及运算规则;逻辑函数的公式法和卡诺图法化简;中小规模组合逻辑电路、时序逻辑电路的分析与设计方法。了解常用集成逻辑器件、可编程逻辑器件、存储器及模数与数模转换器的功能及其应用等内容。

(二)从能力方面,应使学生在学习本课程理论知识的同时,重视和加强实践训练,注重应用能力的培养,使理论和实践紧密结合,在实践训练中逐步学会分析、查寻和排除故障的方法,培养正确选用集成器件进行逻辑设计和解决实际问题的能力。

(三)从教学方法上,着重基本概念的解释,引导学生正确应用所学知识,分析和解决实际问题。

三、相关课程及关系

本课程的先修课程包括“电路分析基础”、“电子线路”等,本课程的学习应在学生掌握一定电子电路知识的基础上进行。与此同时,本课程为后续的“单片机”、“EDA”、“微机接口技术”、“数字信号处理”等课程打下了必要的理论基础。

四、课程内容及学时分配(*表示不作主要要求)

总学时:56学时

(一)数制与编码:3学时

1、数的各种进制及相互转换

2、数子系统中的常用编码

—1—

3、二进制数的负数表示法(原码、反码、补码)

要求学生掌握:不同数制间的相互转换、常用编码及二进制数的负数表示法。

(二)逻辑代数基础:10学时

1、逻辑代数的基本公式和运算规则

2、逻辑函数及其表示方法

3、逻辑函数的公式化简法

4、逻辑函数的卡洛图化简法

要求学生理解:最小项和相邻项的意义;最大项与最小项关系及性质;任意项、约束项、无关项的概念。掌握:逻辑代数中的基本逻辑运算、基本定律、基本公式和用卡诺图。重点掌握:逻辑函数的公式法和卡洛图法化简。

(三)集成逻辑门:4学时

1、基本逻辑门电路

2、TTL集成逻辑门

3、*CMOS集成逻辑门

4、*TTL电路与CMOS电路的接口

要求学生了解:二极管、三极管的开关特性及分立元件门电路;各类集成逻辑门电路使用中应注意的问题。掌握:TTL集成逻辑门的逻辑功能、外特性及相关参数;CMOS集成门逻辑门的逻辑功能及特点。

(四)组合逻辑电路:10学时

1、组合逻辑电路分析(SSI、MSI)

2、组合逻辑电路设计(SSI、MSI)

3、常用集成组合逻辑器件

4、*组合电路的竞争冒险

要求学生了解:组合逻辑电路的竞争冒险产生的原因和消除的方法;掌握:组合逻辑电路分析(SSI、MSI);常用集成组合逻辑器件的功能、应用及函数表达式;SSI设计组合逻辑电路的方法(输入端只允许有原变量,器件数最少(补充))。重点掌握:MSI设计组合逻辑电路的方法(比较法、扩展法、降维图法(补充))。

(五)集成触发器::8学时

1、基本触发器(同步R-S、D、J-K、T、T)

—2—

2、主从触发器(R-S、J-K)

3、边沿触发器(R-S、D;J-K;传输门构成的边沿触发器)

4、不同类型触发器的相互转换

要求学生深刻理解:同步触发器的空翻现象;同步清零与异步清零;主从JK触发器的一次翻转现象;不同类型触发器的工作原理及各自的特点。牢固掌握:同步、主从、边沿触发器的逻辑功能、特性表、特性方程、状态图及时序波形的画法。

(六)时序逻辑电路:12学时

1、时序逻辑电路概述

2、时序逻辑电路分析(同步、异步)

3、同步时序逻辑电路设计

4、*异步时序逻辑电路设计

5、*序列信号发生器

要求学生深刻理解:数码寄存器、移位寄存器、加法计数器、减法计数器、移存型计数器的定义及工作原理。牢固掌握:同步、异步时序电路的特点、功能描述和分析方法;同步时序电路的设计,中规模集成器件实现任意模值计数(分频)器)。

(七)数模和模数转换器:4学时

1、D/A转换器

2、A/D转换器

要求学生了解:D/A、A/D转换器的电路结构、工作原理及性能指标。

(八)半导体存储器:4学时

1、顺序存取存贮器(SAM)

2、随机存取存储器(RAM)

3、只读存储器(ROM)

要求学生了解:各类存储器的电路结构和工作原理;用ROM 实现组合逻辑函数的方法。掌握:存储器容量的字扩展和位扩展方法。

(九)可编程逻辑器件:2学时

1、可编程逻辑器件(PAL)

2、通用阵列逻辑(GAL)

3、*现场可编程门阵列(FPGA)

—3—

4、*在系统可编程逻辑器件(ISP-PLD)

要求学生了解:可编程逻辑器件的基本结构和工作原理。

五、实践教学环节

《脉冲与数字电路》单独开设实验课,本大纲仅适用于理论课程。

六、作业(习题)要求

要求每章节结束后布置相应的作业,作业量以中等程度学生在二小时左右完成为宜。

七、考核

本科课程采用闭卷考试,内容包括教学大纲所列全部内容,以大纲所列重点为主。

八、教材与主要参考书

(一)推荐使用教材: 杨志忠主编

《数字电子技术基础》

高等教育出版社

(二)主要参考书目: 阎石主编

《数字电子技术基础》

高等教育出版社

王毓银编

《数字电路逻辑设计》

高等教育出版社 刘宝琴编

《数字电路与系统》

清华大学出版社

数字电路教学大纲 第8篇

关键词:成型设计,接口设计,安装调试

电子电路的成型设计,是产品走向市场十分关键的一步。当年, 三星手机正是凭借其美观实用的外形设计赢得了大量的客户群,为它迅速攻占手机市场奠定了重要的基础。

1电路成型设计

电路成型设计就是要把电路做成什么样子。电路成型设计依据的是产品的需求,一般来说是产品的外形需求,当然要考虑到产品的可靠性,制作的可行性,安装调试维修的便利,还有价格等等诸多方面。本电路设计成为功能控制板和显示板这两部分,板上的元件都选用贴片元件,板间用通孔排针(座)相连。这样设计,显示板还可以用来连接其他种类的功能控制板(如单片机控制),以实现更多的功能。

1.1 LED显示板设计

既然是实用教学数字电路时钟,即在教室或家庭房间里使用, 一般要求在10米开外能够清晰的看清显示数字。这里,兼顾了美观和实用以及电路设计制作的可行性,设计每个显示数字的高度为8厘米,宽度为6厘米,形成整机面板(PCB)的高度为11厘米, 宽度为24厘米。而面板及背板配以6mm的茶色亚克力板,用装饰螺杆螺帽组装成整机外壳,既美观大方又是的显示字看上去比较柔和。

根据所定显示字的尺寸和电路功能,整个显示电路设计成为四位共阴动态扫描显示电路。而每个笔段时间成用四个5050贴片LED一字排列而成。5050贴片LED的内部有3个超高亮LED。 这里的LED工作压降为3.2V-3.6V,工作电流为5m A-20m A,若以每个LED电流为10m A计算,每个字的笔段全亮电流可以达到960m A,这里选用了标称电流为1500m A的贴片三极管8050和8550来驱动LED,如图1所示。图中,选取电阻R的不同阻值, LED中的电流就不同,发光亮度也不同。

1.2电路接口设计

本电路设计成为功能板和显示板两部分,这两块板之间的接口,以及功能板上的电源接口的设计就要考虑周到。

两块板之间的接口,功能上分段码接口和位码接口,这里把段码和冒号驱动合在一起一字排开,把位码接口和电源、地线接口合在一起一字排开,形成两个直排8位接口。虽然功能板和显示板都设计成贴片元件为主,这里段码接口和位码接口还是设计成为100mil间距的通孔排针(座)SIP8,两组排针(座)的摆放位置也尽量排在班子的边缘,这样设计的目的是既可以保证控制信号传输的可靠性,又可以用排针(座)来固定功能板。

本电路的功能板上,板子的正面和反面各有一组段码接口和位码接口,这样就可以在用固定排针(座)连接一块显示板的同事,用杜邦线连接功能板和另一块显示板,可以实现“一拖二”, 做成双面显示时钟。

本电路在电源设计上也有新颖之处,采用贴片USB-MINI座作为电源接口,这就可以用现在随处都可以找到的USB-MINI线连接手机充电器的转换头对电路供电。

本电路还合理的安排了外接按钮接口和遥控接口的位置,便于整机安装调试和维护。

2电路安装调试

经实际安装测试,本电路设计得很可靠,只要元器件摆放位置正确,焊接时无虚焊、漏焊、短路现象,无需调试,电路制作都能够成功。但学生制作往往是新手,贴片元件的焊接不过关, 也会出现这样那样的问题,出现了问题学生一时不一定能够排除。

常见故障现象一:通电只有一个数码管显示“0”。问题出在秒产生电路,往往是晶振不振荡,可能是晶振焊接有问题,或振荡、 分频芯片摆错、摆反或焊接有问题,也有可能是芯片在焊接时被过烫损坏。

常见故障现象二:通电只有四个数码管显示“0”,冒号正常跳动,校时校分正常,但不能正常时分计数(钟不走)。问题出在秒计数电路上。查时什么原因秒计数电路不工作,或分信号传输是否有问题。

常见故障现象三:每个数码管上都在相同的位置上少一笔。 是对应的那笔的信号传输出问题,或对应的驱动三极管出问题了。

常见故障现象四:无法校时或无法校分。这是相应的二极管或门电路出问题了,查一下是否有元器件漏焊、虚焊, 是否有二 极管接反,是否有二极管杯烫坏。

3结束语

上一篇:高职会计专业发展下一篇:毕业实习技术总结