芯片测试论文范文

2024-06-16

芯片测试论文范文(精选7篇)

芯片测试论文 第1篇

随着CMOS工艺进入深亚微米水平, 为了保证芯片生产线上的成品率, 对测试芯片的需求变得越来越复杂, 为了分析产品的性能以及保证制造流水线的成品率, 需要在晶圆上部署越来越多的测试结构。目前, 芯片代工厂还是主要用传统的测试芯片来侦测流水线上的成品率状况。在传统的测试芯片中, 每个测试芯片的引脚需要单独地连接到PAD上。PAD比较大, 这样导致了单位面积上PAD的数量无法达到相对比较多的数量级, 而PAD的数量决定了实际可以进行测试的测试结构的数量, 所以传统测试芯片的面积利用率非常低。传统的测试芯片具有结构简单、设计方便等优点, 但传统测试芯片极低的面积利用率使其越来越难以满足如今为保证流水线的成品率所必需的数据条件。

为了提高测试芯片的面积利用率, 文献[1-3]的作者作了一些相关的尝试。文献[4]的作者则进一步描述了一种具有极高面积利用率的测试芯片的设计及制造方法一—可寻址测试芯片。可寻址测试芯片采用了类似静态记忆体芯片的周围地址译码电路和堆叠摆放式的测试单元。每个测试单元纵向重叠摆放多个用于监测半导体生产工艺缺陷的测试结构, 周围地址译码电路为每次测试唯一地确定一个测试结构, 测试信号通过寻址, 进入对应的测试结构进行测量。通过引入译码电路, 基于同样数量的PAD, 可以测试更多的测试结构, 从而大大提高了芯片的面积利用率。

然而, 可寻址测试芯片的设计复杂度阻碍了其推广。可寻址测试芯片由两部分组成:基本测试单元以及外围电路。设计可寻址测试芯片的困难之处在于外围复杂的译码电路设计以及测试结构的分配问题。可寻址测试芯片的基本测试单元可以通过堆叠的摆放方式, 将不同掩模层的测试结构放在同一个测试单元中。同时, 尺寸较小但属于同一个掩模层的测试结构也可以放在同一个基本测试单元中, 只要保证基本测试单元的面积足够即可。

文献[5]的作者在可寻址测试芯片的自动化设计领域做了一些尝试:他们将可寻址测试芯片的设计分为外围译码电路的设计以及可寻址测试芯片基本单元的设计。可寻址测试芯片的基本单元实际上就是测试结构的一个容器。基本单元设计的复杂性主要在于在该阶段, 设计者需要将成千上万个测试结构合理地分配到可寻址测试芯片的基本测试单元中。而在分配的过程中, 需要考虑诸如测试结构的掩模层冲突、基本测试单元的容量对测试结构尺寸大小的约束、基本测试单元绕线资源对于测试结构引脚数量的约束等问题。在分配的过程中, 一个最基本的限制就是在同一个基本单元中, 有相同掩模层的不同的测试结构不能出现重叠的情况。文献[5]通过作者的直觉来进行基本单元的分配, 同时手动计算基本单元中各个测试结构的坐标。显而易见, 这样做效率低下, 同时基本不可能得到一个足够优化的结果。同时, 如果测试结构作了部分修改, 设计者很有可能需要重新进行手动分配和坐标计算, 而这在工程应用中是无法接受的。

所以, 设计者需要一个工具可以帮助他们自动完成可寻址测试芯片基本单元的设计, 而且在相对较短的时间内得到一个优化的分配结果。本研究将会基于线性规划对可寻址测试芯片的基本单元设计阶段建立数学模型, 以实现可寻址测试芯片基本单元设计的自动化。

1 问题描述

文献[4]将可寻址测试芯片分为外围译码电路以及基本测试单元, 可寻测试芯片原理如图1所示。基本测试单元中含有测试结构。通过引入译码电路, 测试芯片只需要少量的PAD就可以管理大量的测试结构, 大大增加了测试芯片的面积利用率。同时, 如果引入层叠式的设计模式 (占用了不同掩模层的测试结构可以重叠地放置在一起) 则可以进一步优化测试芯片的面积利用率。

基本测试单元的分配问题是要将大量的测试结构合理地分配到如图1所示的测试单元中, 并确定其具体坐标, 测试单元版图如图2所示。基本测试单元的分配阶段的目标是得到一个分配方案, 使每个测试单元的利用率尽可能高。在分配的过程中, 需要考虑的问题有: (1) 测试结构的掩模层。测试结构所占据的掩模层会各不相同, 所以在测试单元中, 每个测试结构只允许占据对应的掩模层, 对于占据不同掩模层的测试结构, 允许被分配到同一测试结构中, 并采用堆叠的摆放方式, 测试单元纵向切面示意图如图3所示; (2) 测试结构的大小。测试结构的尺寸大小同样存在差异, 有的测试结构需要占据整个测试单元, 而有的测试结构只需要占据测试单元的一部分, 如图2所示; (3) 测试结构所需的绕线资源。每个测试单元可以提供的绕线资源是由分布在测试单元两边的伪终端数量决定, 每个测试结构所需的绕线资源也不尽相同, 所以每个测试单元中, 测试结构的绕线资源总和不能超过测试结构所可以提供的绕线资源。

基本测试单元的分配问题划分成两个阶段:全局分配以及局部分配。全局分配是将测试结构分配到每个基本测试单元中且满足约束条件, 而局部分配则是计算每个基本单元中测试结构的具体坐标。当完成全局分配之后, 每个基本测试单元中测试结构的数量已经很少, 并且确定存在一种摆放方式使测试结构全部分布到基本测试结构的相应掩模层且不违反之前提到的诸多约束。所以研究者可以简单的采用动态规划的方法, 遍历所有的摆放方式, 通过相应的剪枝来快速地找到合理的解。本研究之后的讨论将会集中在基本测试单元的分配问题中的全局分配阶段。

测试结构全局分配类似于装箱问题。装箱问题的一个最简单的解决方案是使用First fit算法 (遍历容器序列, 将部件放入第一个合适的容器中) 。First fit算法可以快速地解决问题, 它的时间复杂度是Θ (n log n) 。First fit算法的不足之处在于通常情况下无法得到最优解, 尤其是在测试结构比较复杂的情况下。当引入一些约束条件时, 则会进一步恶化。文献[6]提出了一个组合启发式算法来解决一个基本的三维装箱问题, 但没有考虑装箱问题的诸多约束条件。而对于可寻址测试芯片基本测试单元设计阶段的测试结构分配问题, 需要考虑很多约束条件, 如测试结构的掩模层约束, 测试结构之间的摆放规则约束, 每个基本测试单元的绕线资源约束等等。同时, 设计者在分配过程中会有很多限制条件, 如某些测试结构需要被放置在一起, 而有些测试结构则不能同时出现在一个基本测试单元中。对于多约束的问题, 空间规划算法不太有效, 而启发式算法存在局限性较大的问题。文献[7]则进一步提出了使用遗传算法解决多约束的规划问题是一个行之有效的解决方案。

线性规划[8,9]是最优化问题中的重要领域之一。很多运筹学中的实际问题都可以用线性规划来表述。本研究的后续部分将尝试线性规划应用到可寻址测试芯片的基本单元设计中, 通过线性规划来构建一个稳定并且具有良好扩展性的数学模型。

2 问题建模

综合上一章中对于可寻址测试芯片的全局分配问题的分析, 可以进一步将可寻址测试芯片的分配问题抽象成以下表述现实:现有基本测试单元B={b1b2…bn}, 以及测试结构I={i1i2…im}, 找到一个对于I的分割S={Sj|1≤j≤n}且S1∪S2∪…∪Sn∈I, 如果ij∈Sj, ii必须满足基本测试单元bj中相应的一些约束条件。

线性规划问题是目标函数和约束条件都是线性的最优化问题。使用线性规划来构建数学模型的关键在于成功使用一元线性多项式表述问题的优化目标以及使用线性不等式表述问题的约束条件。

2.1 确定变量语义

选择问题都可以转换成0—1问题, 因为对于选择, 只会有选中与不中两种可能, 每个测试结构在基本测试单元中的分配情况可以通过一个变量非常方便地表示。如下所示的分配矩阵可以用来表示测试结构在基本测试单元中的分配情况:

式中:xij—第j个测试结构是否被分配到了第i个基本测试单元, 1—测试结构被分配到对应的基本测试单元中。

一个测试结构可以分配到不同的基本测试单元中, 可以用下面的不等式来限制每个测试结构的重复次数:

式中:r—第j个测试结构需要放置的次数。

当然, 每个测试结构的分配信息可以通过一个向量来表示:ITEMi= (x1ix2xi…xni) 。对于每个基本测试单元, 也可以通过一个向量来表示分配结果:BLOCKi= (xi1xi2…xim) 。所以, 分配矩阵还可以表示成如下的形式:

可寻址测试芯片全局分配的目标是将尽可能多的测试结构分配到基本测试单元中, 所以数学模型的优化目标是:

2.2 构建约束方程

对于可寻址测试芯片的分配问题, 其最基本的约束条件是掩模层约束:测试结构只能占据特定的掩模层, 同时, 在同一层掩模层, 不同的测试结构不能有重叠的情况, 即掩模层冲突。所以, 在同一层掩模层的测试结构的面积总和不能超过基本测试单元的尺寸大小。对于每个测试结构, 可以用一个向量来记录该测试结构在每一个掩模层的大小信息:

式中:k—掩模层的数量。

向量中的元素指示了该测试结构的组件在当前掩模层中的尺寸大小, 当测试结构占用了某个掩模层, 则掩模层向量中对应的元素应为测试结构实际的尺寸大小。如果考虑到设计规则 (Design Rule) , 可以对实际的尺寸大小作一次扩展, 以防止测试结构的距离太小。因此, 下面的掩模层信息矩阵可以用来指示所有测试结构在对应掩模层上的尺寸信息:

式中:wij—第i个测试结构在第j层掩模的尺寸信息。

同时, 不同的基本测试单元可以有不同的掩模层, 同样可以用一个向量来表示每个基本测试单元的掩模层信息:Li= (li1li2…lik) 。所以, 对于基本测试单元的掩模层信息矩阵如下所示:

可寻址测试芯片的掩模层约束条件是在基本测试单元中, 每个掩模层上, 测试结构的面积总和不能超过基本测试单元的物理尺寸。综上所述, 可寻址测试芯片分配问题的掩模层约束条件可以用如下的不等式表示:

综上所述, 可寻址测试芯片的测试结构全局分配问题的一个最基本的数学模型可以表述为如下的形式:

迄今, 之前描述的只是基于可寻址测试芯片的测试结构分配问题的一个最基本的数学模型。但实际上, 更多的情况下, 在测试结构的分配过程中, 往往会有许多额外的约束要求, 以得到合理的分配结果。线性规划另外一个优点就是其扩展性:根据实际情况的不同, 线性规划的数学模型可以很方便地进行扩展, 以满足设计需求。

例如, 一些测试结构会被希望分配到相同的基本测试单元中。那么本研究提出的数学模型可以通过添加一个约束方程即可满足要求。因为测试结构需要被分配到同一个基本测试单元中, 两个测试结构的分配信息是相同的, 可以表述成如下的等式:

将其展开可以表示为如下形式:

再者, 由于测试结构的测试方法多不相同, 每个基本测试单元中应该分配同种类型的测试结构, 而不同类型的测试结果是不允许分配到同一个基本测试单元格中。假设i, j是两个不同类型的测试结构, 那么在同一个基本测试单元k中, xki和xkj不能同时为1。所以, 数学模型中需要添加如下约束不等式来获得理想的分配效果:

即:

以此类推, 数学模型中还可以添加诸如绕线资源等一系列约束条件。

3 优化策略

前几章已经为可寻址测试芯片的测试结构全局分配问题构建了一个基本的数学模型, 在该数学模型的基础上可以利用GLPK (GNU Linear Programming Kit) [10,11]构建一个简易的用于可寻址测试芯片的自动分配工具。

显而易见, GLPK的求解速度与待求解的问题规模相关。假设待求解的问题包含了n个测试结构, m个基本测试单元以及k层掩模, 在不考虑额外的约束条件, 一个最基本的数学模型将会有n×m个变量, 与此同时, 需要构建m×k个层约束不等式和n个变量约束不等式。

但通常情况下, 很多测试结构会有相同的掩模层和相似的尺寸大小。所以, 研究者可以利用一些策略来减小数学模型的规模:

(1) 将在相同掩模层并且尺寸大小相似的测试结构组成一个大的测试结构。

(2) 将在相同掩模层并且尺寸大小相似的测试结构当作相同的个体看待。

(3) 将在不同掩模层并且尺寸大小相似的测试结构组成一个大的测试结构。

通过以上的措施, 数学模型的规模会得到有效地减少。

4 实验数据

4.1 分配效果

由于可寻址测试芯片设计规则的限制, 测试结构的分配不是随意的, 一些测试结构是不能同时分配到一个测试单元中。其中最主要的规则是:

(1) 采用四端测量方法的测试结构和采用二端测量方法的测试结构不能同时分配到一个测试单元中。

(2) 对于同一个测试单元来说, 每个测试结构在X方向上的尺寸与测试单元在X方向上的尺寸的比值w=xi/xb (尺寸比值) 。w>1/2和w<1/2的测试结构不能分配到一个测试单元中, 因为可寻址测试芯片对于这两种测试结构会采取不同的布线策略。

测试单元中分配了采用四端测量法的测试结构如图5所示。图5的测试单元在不同掩模层的分配效果示意图如图6、图7所示。图4的测试单元的3维示意图如图7所示。该测试单元在Metal1及以下掩模层的版图示意如图5所示, 从图中可以看出, 分配时, 4个尺寸较小的测试结构被组合在一起, 已尽量多的利用测试单元的资源。该测试单元在Metal2掩模层的版图示意如图6所示。在Metal2层, 分配了两个相对较大的测试结构, Metal4掩模层的分配情况与Metal2类似。该测试单元总共分配了8个采用四端测量法的测试结构, 使用的掩模层包括Metal1, Metal2, Metal4。该测试单元可以提供的布线资源为8个, 分配到该测试单元中的每个测试结构都需要1个布线资源, 所以没有足够的布线资源可以提供给更多的测试结构, 因此无法进一步分配占用Metal3掩模层的测试结构到该测试单元中。同时, 从图中可以看到, 该测试单元中只出现了尺寸比值小于1/2的测试结构。上文所提到的数学模型中的约束条件很好地将在X方向上尺寸比例小于1/2的测试结构和尺寸比例大于1/2的测试结构进行了隔离。

4.2 运行效率

基于可寻址测试芯片的设计自动化的研究相对较少, 也没有解决可寻址测试芯片设计中遇到的问题的针对性算法, 所以本研究仅列出了在研究过程中一些运行数据, 可以与现有可寻址测试芯片手动/半自动设计的效率做一个直观比对。对于一个包含1 024个测试结构, 同时无需考虑测试单元层叠摆放需求, 文献[5]中提出的可寻址测试芯片半自动化的设计方法可以让分配阶段消耗的时间由超过20天压缩至2~3天。而基于上文提出的数学模型设计的自动分配器的运行效率如表1所示, 其中第一行为测试结构的数量, 第二行则是自动分配的运行时间。

通过表1的数据可以发现, 当分配问题的规模增大时, 基于线性规划的自动分配算法的性能退化比较严重。这是因为本研究提出的线性规划的数学模型中的变量的语义代表测试结构是否分配到对应的测试单元中, 变量必须为0或1。因此本研究在使用GLPK实现文章中提到的数学模型时, 采用了GLPK的整形规划解法器 (MIP Solver) 。相较于常规的线性规划解法器 (LP Solver) , MIP Solver的性能要差很多。同时, 在规模较大时, 容易陷入死迭代。所以在问题的规模比较大时, 可以考虑将问题分解成几个小问题, 以得到较好的运行性能。虽然这样不会得到一个全局优化的结构, 但通过引入合理的分批策略, 可以使分配结果不至于退化太多。

4.3 分配结果统计

4.1节已经展示了自动分配算法结果中局部测试单元的版图示意。从示意图中可以看到, 自动分配算法的分配结果已经达到了预想的效果。接下来, 笔者将展示每个测试单元的空间利用率的统计信息。

其中, 实验的样本来源于246种不同类型的测试结构模板, 这些测试结构模板覆盖了采用四端测量法的测试结构和采用二端测量法的测试结构。基于这些模板, 赋予不同的特征尺寸, 共生成了13 249个具体的测试结构用于分配。分配结果的统计数据如表2、表3所示。

从表2、表3中可以看出测试结构的分布情况, 测试结构掩模层的需求主要集中在Metal1、Metal2、Metal3, 其中Metal1的需求量最大。可寻址测试芯片测试结构分配问题最基本的约束条件是掩模层约束:每个测试结构只能出现在对应的掩模层中, 例如一个含有Metal1的测试结构必须被分配到测试单元的Metal1掩模层中。由于测试单元数量的限制, 包含了Metal1掩模层的测试结构并没有全部分配到测试单元中。上文提到的线性规划的数学模型的优化目标是将尽可能多的测试结构分配到测试单元中, 以使测试单元的利用率最高, 所以在分配含有Metal1掩模层的测试结构时, 自动分配算法会寻求一种最大化测试单元空间利用率的测试结构组合方案。从表2、表3的数据中可以发现, 对于包含Metal1掩模层的测试结构, 恰好存在可以完全利用Metal1掩模层空间的分配方案。

5 结束语

在自动分配算法的帮助下, 可寻址测试芯片的测试结构分配可以很方便地完成。假如一个项目有几千个测试结构, 往往需要设计者花费数天时间来完成测试结构的分配。但在自动分配算法的帮助下, 测试结构的分配可以快速地并且不需要人为参与地完成。另外, 自动分配算法在极大提高了可寻址芯片设计效率的同时, 可以显著提升分配结果的稳定性。

参考文献

[1]DOONG K Y Y, BORDELON T J, HUNG L J, et al.Fieldconfigurable test structure array (FC-TSA) :enabling design for monitor, model and manufacturability, Semiconductor Manufacturing[J].IEEE Transactions on, 2008, 21 (2) :169-179.

[2]SMITH B, ARRIORDAZ A, KOLAGUNTA V, et al.A novel biasing technique for addressable parametric arrays[J].Semiconductor Manufacturing, IEEE Transactions on, 2009, 22 (1) :134-145.

[3]HESS C, SQUCCIARINI M, SHIA Y, et al.High density test structure array for accurate detection and localization of soft fails[C].Microelectronic Test Structures, 2008, ICMTS2008, IEEE Internationsl Conference on, 2008:131-136.

[4]郑勇军.用于物理失效分析的改进型可寻址测试芯片及制作方法:中国, 201010612475.8[P].2012-10-03.

[5]ZHANG Bo, PAN Wei-wei, ZHENG Yong-jun, et al.A fully automated large-scale addressable test chip design with high reliability[C].European Conference on Circuit Theory and Design (ECCTD) .Sweden:[s.n.], 2011:61-64.

[6]张德富, 魏丽军, 陈青山, 等.三维装箱问题的组合启发式算法[J].软件学报, 2007, 18 (9) :2083-2089.

[7]何大勇, 查建中, 姜义东.遗传算法求解复杂集装箱问题方法研究[J].软件学报, 2001, 12 (9) :1380-1385.

[8]SCHRIJVER A.Theory of Linear and Integer Programming[M].Wiley, 1998.

[9]CORMEN T H, CHARLES E, RIVEST R L, et al.Introduction to Algorithms[M].Second Edition.MIT Press and McGraw-Hill, 2001.

[10]CERO R, IBM Software Group.The GNU Linear Programming Kit, Part 1:Introduction to linear optimization[DB/OL].[2006-08-08].http://www.ibm.com/developerwrks/linnx/library/l-glpk/.

高速网络芯片测试方法研究 第2篇

作为二十世纪八十年代被科学家们提出的一套标准化程度较高的芯片运行技术水准, JTAG边界扫描体系能够将电子芯片、印制板以及整个系统有机地结合在一起, 实现三者之间的顺畅联通与高效工作。为了大范围的推广该技术, 1990年科学家们发布了指导性技术标准, 给该技术的大规模运用铺平了道路。

随着市场经济的进一步深入, 边界扫描测试技术所带来的成本降低与产品质量的提升都有利于企业的发展, 并且还能显著地降低一个产品从设计、测试直到上市销售这个过程中所消耗的时间, 给企业带来的不仅仅是资金成本的降低, 对企业的长远发展也能起到决定性的帮助作用。因此我国相关领域的科学家们都十分重视边界扫描技术的研究与发展, 各大电子厂商纷纷开展了自己有关该技术的研究与应用, 取得了一定的成果, 有一批以该技术为指导生产出来的产品被投入市场。而随着国际电子市场的发展, 很多知名的国际大公司都开始了有关边界扫描测试技术的研究与论证。以JTAG Technology、Agilent为首的国际大公司研究出了成体系的测试仪器和集成网络芯片, 给边界扫描测试技术的大规模应用提供了方便。

作为边界扫面技术当中十分重要的一个协定, IEEE Std1149.1-1990自其诞生之日起就指导着边界扫描测试技术的发展, 也是边界扫描测试时代到来的象征。该协定补充了以往的边界扫描测试手段无法涉及到的内容, 将模拟耦合测试带到了人们的眼中, 协议极大地提升了原本指令集的数量, 在此基础上又提升了测试系统对交流通路与差分通路的处理测试能力, 能够显著提升系统的测试能力, 因此在很多领域内得到了广泛的应用, 是扫描测试系统网络化、集成化发展道路上的基石。该协议还创造了主模块与从模块这种测试方式, 能够帮助研究人员构建起一套高质量的远程测试系统。并且整个网络芯片的模块化程度较高, 不同的模块之间也能实现彼此的相互连接, 使主模块更容易完成对整个网络芯片的管理, 所起到的作用是巨大的。

当通过主模块以及从模块搭建起了稳固的测试体系之后, 就可以尝试构建多级星型测试结构了。随着人类进入21世纪, 计算机技术的飞速发展给了故障检测系统更大的发展空间, 在越来越完善的无线通讯协议的支持下, 我们有理由预见, 更多的厂商都将有自己的内部因特网络, 能够自主完成整个系统的故障检测以及设备维修工作。

2全扫描可测试性实现方法

随着现代网络芯片的集成化水平越来越高, 要想保证网络芯片顺利的发挥自己的作用, 首先要保证的就是网络芯片元器件的工作质量。在大量的工作实际的支持下我们发现, 一套集成网络芯片的集成化程度越高, 也就是说, 在同样面积的网络芯片板上, 密度越高、引脚越多、导线越细, 集成网络芯片的工作效率就越高, 但是与此同时对外界环境的变化就更加敏感, 因此也越容易出现物理性故障。并且在对复杂程度较高的集成网络芯片开展检测工作的时候, 所需要的测试平台也更加昂贵, 给企业带来了极大地经济压力。在这样的环境下, 有关企业应当改良自己的测试系统工作方式, 从访问机制下手, 提高检测系统对整个网络芯片内部节点的可控性, 最终起到降低检测时间, 提升检测质量的效果, 提升企业内部的资金运用率。

为了有效达到上述目的, 现代企业一般会采用扫描测试这种手段。该方案在监测系统的测试阶段就进行了系统的规划, 通过采用可扫描寄存器的手段来提升了可控制点的数量, 达成了提升检测效率的目的。但是这种检测手段极大地提升了网络芯片的复杂程度网络芯片, 对芯片的制作公益提出了更高的要求。

3测试模式下功耗比较高的原因

随着人们生活质量的不断提升, 越来越多的便携式设备在我们的生活当中扮演着更加重要的角色, 甚至改变了我们的生活方式。要想让消费者获得更好的便携式设备使用体验, 其续航性能是市场要考虑的因素之一。为此, 研究人员检测了便携式设备的低功耗表现水平, 发现测试期间便携式设备的功耗更好, 这是由多方面的因素所共同决定的。

3.1在一般情况下, 集成网络芯片都不是在全功率下运行, 往往只有很小的一部分网络芯片在工作。这一点对于可测试性网络芯片来说也是一样的, 正是由于这些网络芯片不处于工作状态或是功率较低, 因此测得的功耗就更高。

3.2网络芯片的总功率与其自身所处的状态是分不开的, 当网络芯片状态发生翻转的时候, 网络芯片的功率损耗主要是动态损耗, 而测试模式时网络芯片的翻转次数较多, 因此总功率较高。

3.3在检测系统中有一个特定的部分叫做测试码生成器, 该部分每隔一段时间就会向外发射一定数量的伪随机测试向量, 变相提升了整个网络芯片的功率损耗水平。

3.4在实际测试的时候, 有时为了提升检测速度, 降低检测所花费的时间, 会采用并行测试这种测试方法, 也是造成整个网络芯片系统总功率提升的主要原因之一。假如不能很好地对这一部分陡然增加的功率进行处理, 很容易对检测结果产生影响, 甚至会烧毁芯片, 最终造成无法挽回的结果。

4基于扫描设计的低功耗DFT方法

为了完成扫描的过程, 工作人员一般会对扫描连发射一定数量的测试向量, 这些测试向量会让网络芯片切换成测试状态, 在完成测试工作之后再将网络芯片切换到正常状态。在测试向量沿着扫描链移动的时候, 会不同程度地造成与这条链相关的逻辑网络芯片进行大量的翻转, 而这也是测试时额外功耗的主要来源。为了解决这一问题, 我们一般会对测试矢量进行大规模的编码, 通过编码来降低其总功率水平。

以往的检测方法分成了确定性测试矢量法和伪随机性测试矢量法这两种, 而将这两种检验方法结合在一起之后, 就产生了混合式测试向量法。该方法主要利用了伪随机测试矢量在监测工作中的独特作用, 将这种矢量与故障牢牢地绑定在一起, 能够发现一些不容易发现的故障, 因此是故障检验当中的主要方法之一。

参考文献

[1]胡文静, 王增, 肖雄伟等.基于FPGA的高速网络IP协议处理实现研究[J].湖南理工学院学报 (自然科学版) , 2011, 24 (2) :36-38, 48.

[2]陈东.高速网络安全系统研究与实现[D].北京:北京邮电大学, 2011.

芯片测试论文 第3篇

测试一个复杂的电路系统,常用的设计方法有分模块设计与调试,最后进行联调。这种分块的设计方式可以将一个复杂的大问题简化,易于逐个解决问题,有利于提高设计的质量,缩短设计周期。在电路故障测试中,分块测试同样是一个非常有效的方式。传统的分块测试往往是对电路系统已经比如熟悉,比如:有原始设计原理图、组成结构框图等。这种情况下的分块测试只需按图纸指示,即可进行正确的划分,然后分块测试。但是,如果面对的仅仅是一个目标系统,没有原始资料的情况下,如何正确、有效地进行分块测试,一直是困扰测试工程师的一个难题。尤其当目标系统仅仅是一块加密CPLD等可编程逻辑芯片时,用户对其内部功能结构完全无从了解,分块测试变得更为困难。

目前,国内外对于这一方面展开的研究并不多,未知结构故障系统测试是属于一个前沿的领域,其很多测试方法、理论有待大胆尝试与深入研究。为此,本文重点研究了在未知结构故障芯片信号分块测试方法。

由于未知结构故障芯片的资料非常有限,因此常见的一种对比式故障检测方法是:对于任一给定的待测芯片,当其能正常工作时,将所有工作状态的信号进行采集与功能划分,建立芯片正常工作的样本库。当芯片出现故障时,采集故障时的工作状态信号与样本信号进行对比,以此确定出现故障的原因和位置。这种方法的关键是如何快速有效地建立样本库。而样本库快速、准确地建立则往往需要进行功能模块划分,直观地体现在一个具体的芯片上,即将芯片工作信号按功能进行划分。比如:引脚1、3、7上的信号控制引脚9的信号,引脚2、4、5、8上的信号控制引脚13的信号,此时,将信号划分成两个部分{1、3、7、9}、{2、4、5、8、13},由此在逻辑上也将该芯片的内部划分成两个相应的模块。由于很多CPLD等可编程逻辑器件在设计时都具有这种按功能分块的特征,因此,当其出现故障时,以这种功能分块的方式建立的样本库,能够快速、准确地定位故障位置。

1 分块划分方案

1.1 问题的提出

在没有进行信号关联划分之前,不论是组合输出信号还是寄存器输出信号,对样本库来说,芯片上的所有输入芯片都被看作是每个输出信号的相关输入,这对于大规模待测芯片来说是很难处理的。

为了解决这个问题,采取的措施是对输入和输出信号进行关联划分。正常情况下,随着芯片引脚数的增加,真值表数据呈指数级增长,真值表数据越多,生成样本库的效率越低。因此,如果对引脚进行关联划分,随着每一个输出引脚要处理的输入变量个数的减少,逻辑综合要处理的数据将呈指数级减少,这将大大提高样本库的生成效率,因此,对引脚进行关联划分是十分必要的。

进行关联划分必须保证关联划分的正确性,如果本来某一输入引脚是另一个输出引脚的关联引脚,而把该输入引脚列为不相关,则将导致逻辑综合产生错误的布尔表达式,进而生成错误的样本库。因此,进行引脚关联划分,必须保证关联划分的正确性,否则,即使通过关联划分提高了逻辑综合的效率,却导致了错误的逻辑综合结果,这对故障检测毫无帮助。

引脚关联划分不仅要求能够对组合输出引脚进行关联划分,而且能够对寄存器输出引脚进行关联划分。在进行逻辑综合时,同等条件下寄存器输出引脚要处理的数据中包含的引脚个数比组合输出引脚包含的引脚个数还要多,因此,对寄存器输出引脚进行关联划分就更为重要。

1.2 具体的设计方案

本文在研究芯片引脚信号关联划分时,设计了两种可行的方案,下面将详细介绍这两种方案,并做对比。

方案一:

定义1:判别域:用于判别引脚属性的特殊时间域称为判别时间域,。表示信号从待分析芯片的输入引脚传输到输出引脚的最大时间延时。

在芯片所在设备中,芯片正常工作时的输入变量是由与芯片相关的电路提供的。因此,芯片输入激励的跳变次数是一个随机变量。那么对一特定的输出引脚,在跳变时间域内所有输入引脚的跳变就是一个概率统计值。

芯片输入激励的跳变次数是一个随机变量,设t为时间,Xi(t)表示到时刻t为止第i个输入激励已经跳变的次数,显然有:

2)Xi(t)取正整数值。

3)若s

4)当s

5)在不重叠的时间间隔内,第i个输入激励的跳变次数是相互独立的。

6)在时间(t,t+s)内(s>0),第i个输入激励的跳变次数Xi(t+s)-Xi(t)只和时间差s有关,而与t无关。

7)在足够小的时间间隔内,第i个输入激励最多发生一次跳变,而不可能发生两次或两次以上的跳变。

可见,输出引脚发生跳变时刻前输入激励的跳变次数符合泊松分布。因此,统计每一个输出引脚判别域内输入引脚跳变的概率,就可以确定该引脚的相关输入引脚。程序中把每一个输出引脚作为一个观察变量,以它的某一次跳变时刻为基准时刻,观察该输出引脚判别域内跳变的输入引脚。统计该引脚所有判别域内跳变的输入引脚,并计算这些输入引脚在判别域内发生跳变的概率,如果某个输入引脚在该判别域内发生跳变的概率达到设定的门限值,就可以认为这个输入引脚是与该输出引脚相关的输入引脚。门限值的取值是依据大量试验的统计结果,保证相关输入引脚的置信度在90%以上。

方案二:

方案二是在有序真值表的基础之上进行的。引脚关联划分的基本思路:对于某一输入引脚,在其它所有输入引脚上的数据没有发生变化的情况下,如果该引脚上的数据发生变化使某一输出引脚上的数据也发生了变化,则该输入引脚与该输出引脚相关。表1是一个3输入变量2输出变量的真值表。

对于输入引脚X1,为了判别其与哪些输出引脚相关。要在真值表中寻找X2,X3数据相同,而X1的值不同的向量组,共有4组,分别为(000,001),(010,011),(100,101),(110,111),而与之相对应的输出引脚上的向量组为(00,10),(01,01),(00,10),(01,01)。X1引脚上的数据发生变化时,Y1,Y2引脚上的数据变化关系如图1所示。

在图1中,在每一组输出向量中,Y1的值在第1组和第三组中由0变为1,而Y2的值始终没有变换,说明输入引脚X1与输出引脚Y1有关,而与输出引脚Y2无关。用同样的方式可以判别X2、X3与Y1、Y2是否相关。

下面来分析对某一输入引脚进行关联划分的基本过程:

从真值表的第一个输入状态开始,确定输入状态组;寻找与输入状态组相对应的输出状态组;比较输出状态组中每一个输出引脚对应值,如果某一输出引脚上的数值发生了变化,说明要判别的输入引脚与发生变化的输出引脚相关。否则,从真值表的下一个输入状态开始,继续执行上述操作,直到把上述操作在所有的输入状态中都执行一遍。在所有输入状态相对应的输出状态的值都比较完毕之后,仍然存在所有的输出状态组中数值没有发生变化引脚,说明该输入引脚与该输出引脚无关。

在确定输入状态组中另一个状态时,只要对输入状态中要判别的输入引脚的值取反即可,其它引脚上的值保持不变。

由于在线式数据的离散性,因此真值表数据亦具有离散性的特点,即真值表中并不是全0到全1的所有状态,在这种情况下,在寻找输入状态组中所对应的输出状态组时,可能存在某一个输出状态不存在,这时可以把没有出现的输出状态用全1或全0代替,因为没有出现的状态可以当作自由项来处理。由于没有出现的输出状态在整个执行过程中不仅仅被比较一次,因此,在整个过程中,没有出现的输出状态要么始终都当作全1处理,要么始终都当作全0处理。而不允许没有出现的状态在两次比较中,有时做全1处理,有时做全0处理。

这种方案对引脚进行关联划分时要求真值表是有序的,因为采用方案二对引脚进行关联划分时,要频繁在真值表中寻找输出状态,如果真值表的数据量很大,又是无序的,则寻找每一个状态都要从真值表的开始状态按顺序查找需要的状态,这样进行查找其时间复杂度是相当大的,也就失去了对引脚进行关联划分的意义。如果真值表是有序的,则可以使用二分查找等查找方法快速对所需要的输出状态进行查找,提高关联划分的效率。

1.3 两种方案的比较:

方案一中利用的是信号在电路内部延时理论和概率论的思想来对引脚进行关联划分,通过对其划分过程的进一步分析,可以发现该方案的不足之处,该方案只能对组合输出引脚进行关联划分,而对寄存器输出引脚则无法进行关联划分。在时序逻辑电路中,寄存器输出引脚的状态的翻转集中发生在时钟脉冲的上升沿或下降沿后的一个短暂的区域内,而与寄存器输出引脚相关的输入引脚上的数据发生变化是在时钟的上升沿或下降沿之前的一段时间,这样从输入引脚上数据准备好到寄存器输出引脚上的数据发生变化这段时间相对来说是比较长的,很多不相关的引脚都可以在这段时间内发生跳变,因此就不能再用概率论的思想来研究寄存器输出引脚的关联性,所以,方案一不能对寄存器输出引脚进行关联划分。

从对时序逻辑电路中组合输出引脚和寄存器输出引脚的真值表格式可以看出,在对输出引脚进行逻辑综合时,不仅要把所有的输入引脚上的数据,而且要把寄存器的现态数据当作输入状态进行处理,所以,寄存器输出引脚的逻辑综合数据量更大,这样,对寄存器输出引脚进行关联划分是十分必要的。而方案二不仅可以对组合输出引脚进行关联划分,而且可以对寄存器输出引脚进行关联划分。

2 实现及测试结果

引脚的关联划分对故障样本库的生成效率和将产生决定性的影响,但是,如果在关联划分时出现了错误,则系统的故障的成功检测可能就会降低。这里对本文论述的两种方案进行实现并测试,测试数据的来源是通过对16、24、32、40、44引脚的可编程器件进行多次编程,在实验开发板上采集其工作数据,然后在控制计算机上进行测试,测试结果如表2所示。

表2是对组合电路中的输出引脚进行关联划分测试,通过对两种方案的测试,可以发现方案二对组合输出引脚进行关联划分时,正确率可达98%,而方案一关联划分的正确率仅是80%。在测试时,也对寄存器输出引脚关联划分进行测试,其正确率也达到95%以上。通过对关联划分的测试表明,对引脚进行关联划分,在提高了逻辑综合效率的基础上可以保证故障样本库的快速、准确的生成。

3 总结

芯片故障检测在实际电路设计与修复中应中有着重要的现实意义,传统的已知芯片设计结构及细节的情况下,有着成熟的故障检测方法和手段。但对于未知内部实现结构和逻辑功能的情况下,进行故障检测和定位面临着巨大的困难,本文研究的两种未知结构芯片逻辑功能划分方法有助于在故障检测中缩小问题规模。并且,本文研究的方案不仅适应用未知结构的芯片,也适应于未知结构的电路系统,如黑匣电路等。

摘要:在未知结构的芯片故障检测方法中,将芯片按功能进行分块测试是一种有效的方式。重点研究了根据芯片正常工作的状态信号,按逻辑功能进行分块的方法。提出了基于芯片内部触发延时统计规律和基于有序真值表推演的两种划分方案。分析和讨论了两种不同的实现方案技术原理、适应场合及优缺点。最后进行了实现及测试,测试结果表明后一种方案划分的正确率更高。

关键词:芯片故障检测,未知结构,功能划分,触发延时,有序真值表

参考文献

[1]Pronath M,GloeckelV,Graeb H.A pararnetric test method for analog components in integrated mixed-signal circuits[A].In:Proc of ICCAD[C].2000.557-561.

[2]李俊玲,于伦正.基于SoC芯片测试结构的研究[J].现代电子技术2007,30(22):43-45.

[3]谢永乐,陈光.系统芯片的可测性设计与测试[J].微电子学2006,36(6):749-753,758.

[4]Kagaris D,Tragoudas S,Majumdar A,On the use of counters for reproducing deterministic test sets[J].IEEE Trans Comp,1996,45(12):1405-1419.

芯片测试论文 第4篇

随着集成电路(IC)设计业的不断发展,设计验证测试的需求量也越来越大[1,2]。为了满足不同的IC半导体芯片的测试要求,工业部门设计出了许多具有不同外形特征的测试探针(以下简称探针)。探针通常由头、尾、中间三部分组成(本文将上、下两部分中较宽的部分定义为头部,较窄的部分定义为尾部),探针长度在2mm~3mm,直径在0.50mm~1.27mm。探针分为若干个系列,同一系列探针中又分为不同的型号。

在探针的生产组装过程中,经常出现用于不同型号的部件混在一起的情况,导致组装后的探针不符合要求,这将会直接影响探针的使用。因此探针在出厂前,要进行检查,发现并去除不符合要求的探针。目前生产企业检查的方法是:将同一种型号的探针装入盒中,放在可移动的平台上,利用X射线摄像机对平台上的探针进行成像(每种型号的探针检查时,摄像机的光学放大倍数是规定好的),探针图像显示在计算机监视器上,检查员通过肉眼观察,发现不合格探针。由于长时间观察,人眼会视觉疲劳,经常出现漏检情况,影响了出场产品的质量,从而也导致用户对产品的不满。

图1是某公司生产的几种型号探针在同一光学放大倍数下的X图像,其中图(a)中的6个探针属于6个不同的系列。图(b)中的六个探针同属于195系列(型号分别是1~6号),其中1、2、5、6号头部都是4爪皇冠型,但是皇冠的形状各不相同,3号头部是圆锥型的,4号头部是平顶型的。1号的尾部是4爪皇冠型的,其余的都是圆锥型的。由图1我们看出,同一光学放大倍数下,不同系列探针图像的总的长、宽、面积有明显差别,而同一系列探针的相差不大,主要差别在头部和尾部的形状上。

根据探针生产的需要,我们为某探针生产厂家开发了一个计算机探针图像模式识别软件系统及配套的探针特征库,用于自动识别探针的型号。

1探针图像模式识别系统原理及流程

通过提取规定光学放大倍数下的探针图像的特征,可以为每一型号的探针创建对应的特征向量,从而建立起探针的特征数据库。根据给定的探针型号,可以从数据库里查询到该探针的特征向量。探针特征向量的提取方法将在第4节中详细介绍。根据企业人工检查探针的实际流程和方法,本文设计的软件识别流程如图2所示[3,4]。

现以195系列1号探针为例说明识别原理及过程:键盘输入探针型号195-1(识别系统立即从特征库里查到该型号探针的特征向量,特征参数包括探针的长宽比、面积、头部尾部占整个探针的比例、头部尾部的形状特征参数等)、从监视器上抓取探针图像、图像预处理、计算探针的长宽比、各部分比例、面积,如果这几个参数与特征向量中对应参数的误差不在规定的范围内,则认为该探针不属于195系列,发出报警提示人工处理。如果在规定的范围内,则认为该探针是属于195系列继续下一步处理;提取探针头部和尾部形状特征参数,并与195-1型号特征向量中对应的形状特征值进行比较,如果在规定的范围内则认为该探针符合要求,继续抓取下一幅探针图像进行检测,否则报警提示人工处理。

2图像预处理

通常探针在放置的时候,其轴线与垂直方向有一定的夹角,探针头部也不一定是向上放置的。探针如果没有垂直头部向上放置,会影响特征参数的提取。因此要对其进行处理,保证探针垂直头部向上放置。

图3(a)为抓取的一幅探针图像,预处理步骤为:二值化处理[5](图3(b))、形态学闭操作处理(去除目标内部孔洞)、利用式(1)-式(7)计算探针轴线与垂直方向的夹角theta(顺时针方向为正,逆时针方向为负)、将图3(a)和(b)旋转theta角度(图3(c)和(d))、判断探针的头部方向并使头部向上、分割出探针[5,6](图3(e))。

area=i=1mj=1nbw(i,j) (1)

Xmean=i=1mj=1nj×bw(i,j)area (2)

Ymean=i=1mj=1ni×bw(i,j)area (3)

a=i=1mj=1n(j-Xmean)2×bw(i,j) (4)

b=i=1mj=1n(j-Xmean)×(i-Ymean)×bw(i,j) (5)

c=i=1mj=1n(i-Ymean)2×bw(i,j) (6)

theta=(arctg2×ba-c)×90π (7)

式(1)-式(7)中,mn分别是图3(b)的高度和宽度,bw(i,j)是图3(b)第(i,j)个像素的像素值。判断探针头部的方法是:根据图3(d)中探针上部和下部一定长度内的平均宽度,大的部分就是探针的头部。

3探针形状特征提取

探针的特征向量分量包括:探针长宽比、探针各部分比例、面积、探针头部和尾部形状特征参数。下面以195、248、249 三个系列的探针为例,介绍同系列中各探针头部尾部形状特征[7,8,9]参数及其提取方法。在提取探针头部和尾部形状特征之前,要先通过插值提高头部和尾部图像的分辨率。

3.1195系列探针的形状特征

针对195系列探针头部和尾部的形状特点,提取的形状特征有:头部倾斜部分的高度h和角度θ、尾部欧拉数N,如图4(a)所示。其中欧拉数的计算方法是:在尾部的二值图像中添加外接矩形框,矩形框的边的宽度可以取3~5个像素,将得到的图形的欧拉数作为探针头部的一个形状特征,用N表示。

图4(b)是195系列1号~6号探针的头部图像,它们是按照3号探针头部倾斜部分占整个探针的比例截取得到的,该比例值作为159系列探针特征向量的一个分量存在数据库中。图4(c)是头部图像的二值图像。其中4号的倾斜高度h接近于0,比其它的都要小。1、2号头部形状完全相同。2、3、5、6号的倾斜角度θ各不相同。图4(d)和图4(e)分别是1、2号的尾部图像,图4(f)和图4(e)是在其二值图像上添加了外接矩形框后的图形。则1、2号尾部的欧拉数N分别是-2和-1。表1给出了195系列探针的形状特征值。1号探针的尾部是4爪皇冠型的,欧拉数N小于等于-2。

3.2248系列探针的形状特征

48系列探针尾部形状相同,仅针对其头部形状特点提取的特征有:欧拉数N、填充比k1和k2,如图5(a)所示。在计算欧拉数时,添加的外接矩形框的上面一条边的宽度取头部高度的1/4。将头部5等分,k1和k2分别是第一等份和第二等份的填充比。

图5(b)是248系列1号-5号探针的头部图像,它们是按照3号探针头部倾斜部分占整个探针的比例截取得到的,该比例值作为248系列探针特征向量的一个分量存在数据库中。图5(c)是头部图像的二值图像,图5(d)是加了外接矩形的图形,加外接矩形后1号探针头部没有孔洞欧拉数是1;2、3、4号头部两侧有孔洞,欧拉数是-1; 5号的欧拉数是0。

本文将2、3、4号探针头部图像5等分,如图5(e)所示,不同部位的填充比是不同的。由于拍摄角度不同,皇冠型头部的第一个5等份的填充比k1不稳定。因此可以先将2、4号皇冠的凹陷处填平,如图6(f)所示。这样2号的填充比k1是最小的。2号探针的皇冠直径比4号的大,因此2号的填充比k2比4号的大。表2给出了248系列探针的形状特征值。

3.3249系列探针的形状特征

249系列探针尾部形状也完全相同,其头部提取的形状特征有:倾斜部分占头部的比例k3、左右侧倾斜角度θ1和θ2,如图6(a)所示。

图6(b)所示是249系列中1号~5号探针的头部图像,它们是按照4号探针头部倾斜角度较大的斜线的垂直高度占整个探针的比例截取得到的,该比例值为249系列探针特征向量的一个分量存在数据库中。图6(c)是头部图像的二值图像。3号探针倾斜部分占头部的比例k3值最小。4号探针左右倾斜角度θ1和θ2不同,1、2、5号的左右倾斜角度θ1和θ2相同。表3给出了249系列探针形状特征值。

4实验结果

本文实验在Pentium(R) Dual-Core CPU E5300 @ 2.600 GHz、内存2GB的PC机上,基于MATLAB R2007b编程实现了探针模式识别系统的功能。识别程序运行后,显示图7所示对话框,输入探针系列和型号195-1后,软件系统按图2所示的流程完成各功能,完成识别后给出检测结果及提示,图8是抓屏获取的X射线图像(成像系统每次给出的图像中通常有两个探针),图9是给出的检测结果及提示。表4给出了识别系统在处理195、248、249 三个系列探针图片时所用的时间。

表4数据显示,图像识别系统有很好的实时性,可以满足实时在线检测的需求。

5结语

本文研究了某探针生产企业生产的IC半导体芯片测试探针图像模式识别的方法。通过对探针X图像特征的详细分析,提取了识别探针系列号和型号的特征,建立了各种系列和型号探针特征数据库,给出了探针图像模式识别软件处理流程,基于MATLAB R2007b实现了软件系统编程,实验结果显示所提出的识别方法有较好的实时性和较好的识别效果,满足了企业的生产需求。

参考文献

[1]张宛平.为探针卡制作本土化再作贡献[J].电子工业专用设备,2007(2):4-5.

[2]李荣文.IC发展评述[J].电子元器件应用,2011,13(4):1-2.

[3]姜立芳.工业视觉检查系统中模式识别研究[D].哈尔滨理工大学,2003.

[4]张新峰,沈兰荪.模式识别及其在图像处理中的应用[J].测控技术,2004,23(5):28-32.

[5]田野,章锦文,赵广州.基于二维Otsu阈值修正的图像分割新方法[J].计算机与数字工程,2012(5):104-107.

[6]郭佳.图像分割方法综述[J].中国新技术新产品,2011(1):29.

[7]聂小刚.IC测试探针尺寸及表面缺陷的图像测量方法及系统[D].江西理工大学,2011.

[8]梁国政.基于昆虫形状特征的模式识别[D].北京邮电大学,2010.

芯片测试论文 第5篇

本文介绍了一种自主研发的全集成315 MHz/433 MH射频发射单芯片RC112, 内部集成了振荡器模块、 编码模块及功率发射模块。 利用FPGA加给编码电路时钟信号, 用示波器和频谱仪对该芯片样片进行测试, 采用超再生接收电路搭建了LED开关遥控控制系统。 系统可实现便捷照明,节约能源,减少成本。

1 全集成射频发射单芯片结构与原理

RC112 是一款自主研发的基于0 . 18 μm CMOS工艺、 全集成的315 MHz/433 MHz射频发射单芯片。 工作电压范围宽(2.5 V~5.5 V),在不加任何辅助设计时辐射水平仍远在FCC Part15 Class B标准之下, 不仅避免了对其他敏感电路的干扰,还降低了系统设计难度。 此外其内置的关断功能使待机电流最小化,还集成了输出端过流保护、片内过温保护和电源欠压异常保护等功能。

其结构框图如图1 所示, 芯片最多可有12 bit (A0 ~All ) 三态地址端, 可提供531 441 种地址码。 其中4 bi( D0 ~ D3 ) 数据位与地址位复用。 OSC1 与OSC2 之间接振荡电阻R, 其振荡频率由电阻R和芯片内部的电容决定。 控制电路控制编码发生器进行编码,设定的地址码数据码及同步码组成串行数据帧, 然后经过逻辑电路调制电路形成调制波, 经功率放大器放大由PAOUT脚输出。SEL为315 MHz/433 MHz选择控制端,PDN为芯片使能端,高电平有效。

芯片内部集成的高频振荡器采用自补偿技术对温度和工艺进行检测并校准,不需要额外的修调。 通过电压运算电路产生一个随温度和工艺变化的控制电压,这个控制电压必须随着温度升高而升高,并且近似是2 次关系[3]。

功率放大器包括控制电路、输入缓冲电路、工艺补偿偏置电路、功率放大级、输出匹配电路。 控制电路用以控制整个功率放大器的工作, 输入缓冲电路用以提高输入信号的驱动能力,偏置电路用以给功率放大管提供偏置,功率放大级用以将输入功率放大, 输出匹配电路用以匹配输出阻抗。 其采用的偏置电路具有温度和工艺补偿效果,保证了功率放大管具有良好的温度和工艺稳定性,同时具有较强的电源抑制能力, 使得功率放大器能在更大的范围内保持线性输出,提高了功率放大器的线性度[4]。

2 芯片测试

RC112 测试芯片采用SOP封装, 根据测试的需要增加了一些PAD。 其中编码电路的时钟信号需要外接引入,测试中由FPGA产生,通过按下FPGA上的按键开关控制编码电路时钟信号的有无,定型的芯片编码时钟信号可由片内振荡器分频得到。 发射端在正常工作时至少发射4 帧数据。 为测量发射电路的有效距离,测试中采取编码电路工作时持续发射的模式,接收解码芯片采用非锁存型, 当接收端的LED灯开始闪烁时说明达到发射的极限距离。 实际产品只需接收端采用锁存型解码芯片即可实现遥控开关触点短暂接触控制。 通过时域和频域测量可以得出有关噪声、 频率偏移、 发射功率变化等参数指标。

2 . 1 编码时钟生成及有效距离测试

经调试, 芯片编码电路的所需时钟频率为250 k Hz,通过按键控制这个脉冲的有无,以测试发射电路的功能及有效距离。 编码脉冲信号要求上升/下降时间不大于10 ns 。 一般的信号发生器难以达到这个要求, 利用FPGA可以方便地解决这一问题。 测试所用的FPGA实验板时钟频率是50 MHz,将由按键开关控制的250 k Hz脉冲信号程序下载到FPGA实验板即可得到所需编码时钟信号。

通过设计按键控制程序实现对机械开关的控制。 在Quartus Ⅱ 环境下运用Verilog语言进行设计。 当机械触点断开、 闭合时, 由于机械触点的弹性作用, 在闭合及断开的瞬间均伴随有一连串的抖动, 抖动时间大约5~10 ms , 所以必须增加防抖动控制。 Verilog设计流程图如图2 所示, 其主要思路是: 设key1 为按键输入信号, 为了检测开关的抖动, 定义key_in为2 bit二进制寄存器类型变量,在时钟的下降沿或者复位信号的上升沿到来时, 不断检测key1 是否有输入, 利用key_in <={key_in[0] ,key1} 实现左移, 同时将输入key1 值送入低位。 key_i按位 “异或”作为判断条件,当有按键动作时,32 bit寄存器型变量cnt置零。 当连续两个时钟周期key1 的值不变时,cnt开始计数, 若计数没有达到500 000 (t=cnt ×150 000 000 = 10 ms ) , key_in就变为10 或01 , 则说明输入发生了变化,cnt置零重新开始计数; 若在10 ms内输入没有变化,则可以认为按键稳定,取稳定后再延迟10 m的key1 值去控制250 k Hz脉冲的有无。 250 k Hz脉冲由200 进制计数器对50 MHz时钟进行200 分频得到。 程序中设置FPGA实验板上的LED3 指示250 k Hz编码脉冲信号的有无,LED4 指示按键状态。 Model Sim仿真结果如图3 所示。

测试结果:按下按键key1,FPGA板上LED3 亮,同时接收端LED灯亮; 再次按下key1,FPGA板上LED3 灭同时接收端LED灯灭。 重复若干次,测试可靠。 不断移远LED接收装置的距离, 当移至50 m时, 接收端LED灯开始闪烁,所以无线遥控有效距离为50 m。

2 . 2 波形测试与分析

波形测试与分析主要包括时域和频域分析。 时域测量调制信号波形, 观察发射的数据帧及噪声大小情况;频域测量不同温度下频率稳定度以及对发射功率的影响。

通过示波器测得的ASK调制信号波形如图4 所示由波形可知,12 位地址编码为011110010100, 与发射端测试板地址编码跳线设置一致,最后一位单窄脉冲为同步码,表示一帧数据传送完毕。 调制波中“毛刺”较多,说明噪声比较大,这是下一步流片需改进之处。 2、3 次谐波处于-15 d Bm~-25 d Bm之间,也可进一步降低。 当然随着噪声的降低,各次谐波也将随之降低。

常温条件下(tt工艺角时) , 通过信号分析仪得到的载波频谱如图5 所示。 信号分析仪中标识点设在315 . 000 MHz , 在该点的输出功率达9 . 30 d Bm 。 用手持频率计在天线附近可直观地观测无线发射的载波频率,测得的值为315.323 MHz。 当然,手持频率计自身就有误差。

ss工艺角时,对芯片RC112 进行液氮处理得到- 37 . 7 ℃的低温环境, 测试所得波形如图6 所示, 其输出频率为314 . 780 MHz , 该点的输出功率为7 . 05 d Bm 。

ff工艺角时, 对芯片RC112 进行加热处理得到93 . 0 ℃的高温环境, 测试所得波形如图7 所示, 得到其输出频率为314.354 MHz,该点的输出功率为4.53 d Bm。 高温时的频率偏差和增益降低比低温时稍大,但最大频率偏差约为0.2%,满足设计指标要求,高温时增益可进一步提高。

3 射频发射LED遥控系统的实现

基于RC112 制作的射频发射LED遥控系统由发射器和接收器组成。 发射端采用全集成315 MHz/433 MH射频发射芯片RC112,只需接很少的外围元件。 接收端通过超再生检波电路接收来自发射端的调制信号并解调成发射端发出的数据帧,经PT2272 进行解码,再通过PT2272 的VT端及数据端高低电平来控制LED的发光。

发射部分采用3 V电池供电, 根据需要可对1~6 路LED灯进行遥控。 图8 为发射端4 路控制接线示意图由于用到4 个数据端,地址端为8 bit(A0~A7),可接高电平、 低电平以及悬空来组成地址码, 接收端解码芯片的地址必须与发送端一致才能正常工作。 无按键按下时发射系统不耗电;当有按键按下时,RC112 上电工作,其内部的振荡器起振,通过内部的编码电路、控制电路、驱动电路将串行数据帧调制至315 MHz的载波上,经功率放大后发射ASK调制波。

系统的接收模块采用超再生检波式接收器, 实际上它是一个受间歇振荡控制的高频振荡器,采用电容三点式振荡器,振荡频率和发射器的发射频率一致。 解码芯片PT2272 的地址编码与发射模块中RC112 芯片的地址编码一一对应。 接收模块接收到编码信号后送到PT2272 , 其地址码经过两次比较核对后, PT2272 的VT脚才输出高电平,同时与RC112 内部的编码器相对应的数据脚也输出高电平。 如果RC112 连续发送编码信号,PT2272 VT端和相应的数据脚便连续输出高电平。 发射端停止发送编码信号,PT2272 的VT端便恢复为低电平状态。

通过制作4 块调试好的超再生接收模块, 设置4 个接收端的地址码与发射端一致,每个模块的PT2272 的数据端依次分别接上LED,用RC112 组成的发射器上的4 个按键实现了对4 个接收端上LED灯的分别遥控。 实际中可根据需要选用锁存型还是非锁存型的解码芯片PT2272。

在遥控系统中,石英晶振是一个应用广泛的重要模块, 通过集成片上振荡器代替石英晶振可以节约成本,并减小电子系统的体积。 本文介绍的全集成射频发射芯片RC112 集成了编码电路、 振荡器及功率发射电路,经测试,该芯片满足设计要求,输出功率为9.30 d Bm,频率偏差小于0.2%。 但是仍存在一些需改进之处,如噪声偏大、极端条件下稳定性和增益有待进一步提高等。 基于全集成单芯片RC112 的LED控制系统在降低成本方面将具有极大的市场竞争力。

参考文献

[1]李旭梅,黄俊,刘鸿.基于零中频的声表面波射频识别收发机的设计[J].电子技术与应用,2013,39(2):9-11.

[2]LAM C C S.A review of the recent development of MEMS and crystal oscillators and their impacts on the frequency control products industry[C].IEEE Ultrasonics Symp.,USA,2008:694-704.

[3]李庆山,胡锦,李湘春.带温度与工艺补偿的新型振荡器[J].固体电子学与进展,2013,33(4):340-345.

芯片测试论文 第6篇

目前科学技术日益进步, 人民的生活水平不断的提高。人们对家具生活得舒适程度也要求越来越高。现在国内外一些发展快速的城市的住宅用的灯具、景观灯已经大马路上面用的照明路灯已经大部分都开始采用新型的LED节能灯了。但是由于LED灯的制作成本较高, 导致LED在市场占领方面略显迟缓。目前国内外著名学者和一些研究机构以及一些大型的企业正在夜以继日的不断探索, 希望可以研究出一些新型的LED材料, 减小LED制作的成本, 使得LED灯的普及率更加高些。

1 LED灯的发光原理和LED的光学参数

1.1 LED灯的发光原理

Light emitting diode的英文缩写就是LED。LED的基础结构是在一小片的发光半导体材料上面, 放置一个电极的引线架子, 接着在架子的周围用环氧树脂固定并密封。这样子可以起到保护电机芯线和半导体的作用, 这样子制作出来的LED抗震性非常好, 且具有一定的防水作用。

LED发光二极管的主要部分是有由两片N型的半导体和P型半导体背对背制作而成的芯片。因为P型半导体材料和P型半导体材料上面都带了载流子, 这两种不同的半导体的交界面之间会形成一个空间电荷存储区间。也就是我们常说的PN结。在给半导体材料的正负极之间加上电压的情况下, PN结之间就会形成电场, PN结中的空子和电子就会在电子的作用下发生运动, 并结合在一起。在空子和电子的结合过程中, 会产生多余的能量, 则这些能量会以发光的方式释放出来。最终实现电能向光能的转换。LED的发光原理图图1所示。给LED加上正向电压, 也就在半导体的P极接上正极, 在半导体的N极接上负极。在LED的两极之间就会形成电流, 电流从正极流向负极, 这样子在空穴跟电子的结合过程之间就会发出不同颜色的光。LED间通的电流大小决定了Led的发光亮度。而LED的发光颜色主要是由半导体材料里面参杂的荧光粉的材料来控制的。

1.2 LED的光学参数

为了鉴别一个LED的好坏, 经常会有一些参数来描述LED。常用的LED的光学参数有光通量、发光强度、亮度、色温、显色性以及光效等参数。

光通量是指在正常情况下人眼可以感觉到的光的辐射功率。它等于在单位时间里面一束光的辐射的能量与该束光所对应的相对视率的成绩。由于人眼对不同的光的灵敏度不一样, 所以当光的辐射功率相等的时候, 并不能代表光通量也是相同的。发光强度又叫光强, 它是指发光体在一个固定的立体单元里面传输的光通量与该立体单元的面积的商, 这个商就代表了单位体积的光通量。亮度是指光源在给定的一个方向里面单位体积上面的光束的发光强度。而光效而是指光源的发光效率。也就是光源的总光通量与该发光体所消耗的能量的商。发光体的发光效率越高, 代表了该照明设备将电能转化成光能的能力越强。也代表了在同能的能量的情况下, 该设备的照明性能越强, 也就是该设备所能达到的亮度越大。显色性是指光源对物体颜色的分辨程度。也就是对颜色的逼真效果。发光设备的显色性能越高, 则该设备对颜色的在线能力越强, 而我们看到的颜色也就越接近于其本来的颜色。而显色性能较差的设备, 则对颜色的能力在线能力越差, 我们所看到颜色也与越来的颜色相差越大。

尽管LED灯功率小, 占用空间小, 易于调色, 颜色可操作性强。但是LED光源也存在一些缺陷。主要缺陷表现在以下几个方面:LED发光功率小、LED的成本价格太高、制作工艺要求高。

2 LED芯片的测试

由于LED技术发展迅速, LED市场也发展快速。目前不少企业正逐渐把大量的资金都投入到LED行业当中, 并成立的相应的企业。然而当中却存在一些唯利是图的商人, 他们利用人们对LED技术的缺乏的弱点, 都宣称自己企业的生产的LED灯的寿命可以达到60000小时以上, 有的商家甚至说明自己的产品可以达到110000小时以上。为此如何才能正确的区分出那些产品是合格产品, 那些产品的质量真的就像商人所描述的那样子, 现在已经逐渐成为一个困扰使用者的巨大问题。为此, 本文提供一个简单的测试办法:测试方案的电路图如下图2.首先, 我们采用积分球来记录相应LED二极管在正向导通的情况下的导通压降。接着根据这个导通压降和电路的电流, 确定和相对应二极管电路回路电阻值的大小。以确保二极管不被烧坏。接着在测试之前, 对二极管进行校准, 确保二极管寿命测试的准确性。然后测量每个二极管在不同的工作电流下的发光量是多大以及正向导通压降是多大, 并通过光谱分析仪器来确定每个二极管的最初光谱是什么。为了保证测量的精度, 对每个二极管都测试5次以上, 并取平均值。最后记录该数据。最后在每个月的固定时间段对每一颗的LED都进行测试, 测试其的光通量, 并给LED同上三种不同的电流, 并记录此时的LED的光通量, 根据不同电流下的LED的光通量值绘制出相应二极管的光通量变化曲线。根据绘制的二极管的光通量变化曲线就可以大致的计算出二极管的实际工作时间。通过二极管的频谱分析仪可以知道二极管的色度漂移情况。

3 LED芯片及LED灯具的光学模拟

传统的LED灯的照明设计都是通过大量实验得到的, 尽管所测得的结果比较准确, 但是这个测试结果只有在灯具的外观已经制作完成以后才可以进行大量实验。要是测试的结果不能和原先设计的一样, 就需要重新设计LED的外观, 浪费大量的人力和财力。本文以Tacacepro光学模拟软件为核心, 对LED灯具的外观不断修改, 对LED灯的数量和阵列方式不断的改进, 通过模拟的方式, 并进行了大量的仿真, 终于得出了LED灯排列方式对LED灯总体发光效率以及空间照明的影响规律。并最终设计出了一种发光效率高, 节约能源的LED灯具。LED的模拟过程如下;首先运用Tacacepro对LED灯具进行建模, 所建的模型如图3。并通过软件设置LED芯片的光源属性等参数。接着定义LED灯具的各种材料特性。并定义光源的波长以及光源的阀值等不同的参数。最后运用软件对LED的光学设计模型模拟。

摘要:LED灯相比传统的照明灯具具有节约用电, 空间更小等特点。因此LED灯具日益成为人们生活的必需品。本文就LED灯的发光原理进行了简单的介绍。紧接着对LED芯片及LED灯具的光学模拟和测试做了详细的介绍。

关键词:LED芯片,光学模拟,Tacacepro

参考文献

[1]严萍, 李剑清.照明用LED光学系统的计算机辅助设计.半导体光电, 2004, 25 (3) :181-183.

[2]安连生, 王自强.照明光学系统计算机辅助设计中光源的数学模型.灯与照明, 1999, 23 (6) :29-31.

[3]胡海蕾.LED照明光学系统的设计及其阵列光照度分布研究.福建师范大学, 2005.

芯片测试论文 第7篇

关键词:操作系统,ISO7816-3,测试模块,TestingCOS

0 引言

随着科学技术的不断进步,智能卡的应用已经越来越广泛,涉及到人类生活的各个领域,如商业、医疗、保险、交通、社会公共事业等多种领域,所以如何设计一个高效稳定的智能卡操作系统[1]具有较高的社会意义。这里针对智能卡的硬件结构设计了操作系统的通信和硬件其他模块[2],并提出了一种测试方案以检测芯片底层模块的稳定性。

1 智能卡操作系统概述

片内操作系统(Chip Operating System,COS)一般是紧紧围绕着它所服务的智能卡的特点而开发的[3]。与常见的微机上的操作系统相比,COS在本质上更加接近于监控程序[4]。在此以具体开发实例说明卡片操作系统的基本问题,并提出一种可行可测试的芯片层设计方案及一种芯片底层的测试方案。

2 COS芯片模块设计

COS底层模块在设计时一般都是紧密结合智能卡内存储器分区的情况,按照国际标准中所规定的一些功能进行设计、开发。

ISO7816是接触式智能卡必须遵循的国际规范[5]。其中ISO7816-3主要描述接触式智能卡的电信号和传输协议,其中包括各个触点的电压电流承受范围、卡复位应答各个信息位的实际表示和T=0,T=1的传输协议。ISO/IEC 7816-3规定了IC卡的电气特性和传输协议。包括该类卡和接口设备间的电源、电气信号协议和信息交换协议。通信过程中,由接口设备给IC卡提供电源(Vcc),复位信号(RST)和时钟(CLK),卡和接口设备间通过I/O端口进行串行通信。

(1)通信模块设计。根据T=0异步半双工字符传输协议,IC卡和接口设备之间以字符为单位(简称字符帧)进行传输,采用偶校验,每个字符由10 b组成。传输字符帧之前,I/O线处于状态Z,第1 b为起始位(状态A);后面8 b为数据位D1~D8;第10 b为偶校验位,即8位数据和奇偶校验位中1的个数为偶数。

串行通信是按位传送的,每位信息宽度(持续时间)定义为基本时间单位ETU(Elementary Time Unit)。在复位应答期间的信息宽度称为“初始ETU”,它等于372个时钟周期,即1ETU=372/f。复位应答后的信息宽度称为“当前ETU”,其计算公式为:当前ETU=(F/D)(1/f)。其中:F是时钟频率变换因数;D是比特率调整因数;f是时钟频率。

IC卡必须与相应的读写设备(IFD)通信。从这个角度讲,智能IC卡操作系统的作用就是从读写设备(IFD)接收命令、执行命令并将结果返回读写设备(IFD)[6]。所以,通信管理功能模块在操作系统中具有十分重要的作用。通信管理功能模块主要实现以下几种功能:实现某一通信协议的数据链路层的传输管理功能;实现ISO/IEC 7816标准规定的ATR(复位响应)等功能;为操作系统中的其他功能模块提供相应接口。

按ISO/IEC 7816标准,IC卡和读写设备之间的通信协议有多种,一般一种卡片只支持某一种通信协议。下面以符合ISO/IEC 7816-3标准的T=0字符传输协议的智能IC卡为例介绍通信管理功能(支持其他通信协议的卡的通信管理功能与此相似)。

ICC上电之后,IFD将向ICC发送命令数据,在这样一次典型的通信过程中,通信管理功能主要从事6个步骤的具体工作。如图1所示。

以下是卡片接收指令相关的源代码:

(2)硬件模块设计。智能卡COS上电后首先要进行芯片初始化,其主要设置以下几个方面:芯片初始工作时的频率,以及使用外频还是内频;芯片串口初始工作的速率(一般为“11”的波特率);芯片串口的工作模式(包括T=0还是T=1协议,正向传输还是反向传输,奇校验还是偶校验等);存储器的初始映射方式。

智能卡芯片(以下以51系列智能卡芯片为例)一般包含几十到几百KB的FLASH,以1个页面为单位进行擦除,根据FLASH编程写的特点,也就是1能写成0,而0不能写成1,故在设计编程写函数时为了保证写数据的正确性,特采取如下的方式实现此功能:

第一步:取出要写入地址的数据(为A),与要写入的数据(为B)进行与操作(结果为C);

第二步:在要写入数据的地址写入数据(B);

第三步:取出写入数据后的地址的数据与数据C进行比较。

对于51系列智能卡芯片,由于标准的8051对程序存储器最大值支持64 KB,所以芯片采用BANK的编址方式[7]。这时往往需要一个映射函数来实现不同BANK的跳转。如果一款智能卡芯片的程序存储器采用128 KB的FLASH用来存放COS和用户数据。128 KB的FLASH均分4个32 KB的BANK,在这4个区域里,Commom区是3个Bnak的公共区域,即Commom区和每个32 KB的BANK都可以组成64 KB的连续空间。3个Bnak之间不能直接互相访问,而必须调用Commom区里的程序才能实现相互的访问[2]。所以在创建工程时要把经常使用的程序和常数,如中断入口函数、Bank Switch跳转表等都放到Commom区里,这样才能实现各个区域的相互访问。

(3)异常保护模块设计。ISO7816-3规定2个连续字符上升沿之间的延迟至少是12 ETU,且2个连续字符上升沿之间的延迟应不超过9 600 ETU。所以COS在设计时要加入发送“60”来实现正常的通信[8]。

发送“60”采用芯片定时器中断的方式,设置定时器的工作模式,使用时钟和的初始值。定时器的中断服务程序的实现流程:关闭发送“60”定时器;发送“60”;打开发送“60”定时器。

操作系统的异常处理,此函数为COS进行异常状态时调用的函数。进入异常状态时,要关闭发送“60”的定时器,然后进入死循环状态。

3 芯片测试方案设计

以下提出一种针对芯片模块函数的测试方案。即嵌入式Testing COS。

(1)Testing COS测试平台组成。T-COS平台由MAIN.C文件、API.C文件、常量配置、A51文件以及芯片库、LIB文件组成。其中,针对不同的芯片,main.c,api.c是相同的,而常量配置文件和芯片库文件则不同,在使用时需要更改或更换。

另外,MAIN.C文件中的CommandInterpreter()命令解释器函数是对发送命令的识别解释(在此函数中,为所有需要测试的函数定义了指令吗)。被测函数的函数体在API.C文件中。芯片库在API.C文件中被具体调用。

此Testing COS可直接写入智能卡中,设计思路是:采用直接APDU指令调用的形式,直接调用硬件模块函数,如擦一页函数。执行完指令,函数返回一个状态字。

主程序是一个死循环,如下:

(2)Testing COS测试平台的使用。T-COS测试平台测试流程,如图2所示:

(3)Testing COS测试平台局限性分析。T-COS平台使用方便简洁,易于观察执行结果,但是其不能观察过程。因此,在返回结果与预期结果不一致,或者出现错误的情况下,无法判断产生错误的原因。在这种情况下,需要借助仿真器,跟踪执行过程,最终找出产生问题的原因。

4 结语

智能卡操作系统根本的部分就在于芯片底层各个模块的稳固性。芯片层开发是电信、税控等产品开发的重要组成部分之一,也是最基础的部分。为了保证电信、税控产品可以在不同芯片之间的平稳移植,需要对芯片层的开发提出一定的要求和标准,这样可以保证上层开发的一致性。

参考文献

[1]王爱英.智能卡技术[M].北京:清华大学出版社,1996.

[2][德]Rankl W.智能卡大全:智能卡的结构功能应用[M].北京:电子工业出版社,2002.

[3]张志刚,赵奎.智能卡操作系统研究和实例分析[J].企业技术开发,2005,24(9):20-22.

[4]亨德里.智能卡安全与应用[M].北京:人民邮电出版社,2002.

[5]International Standard ISO 7816-1,-2,-3,-4[S].Identifica-tion Cards:Integrated Circuits Cards with Contacts:1997.

[6]杨志峰,王志新.智能卡的操作系统:COS[J].现代电子技术,2005,28(8):91-93.

[7]李金良.智能卡操作系统(COS)编程语言及编译器系统设计与实现[J].中国集成电路,2005(11):67-69.

[8]李翔.智能卡研发技术与工程实践[M].北京:人民邮电出版社,2003.

[9]张利华.智能卡操作系统开发中的测试技术[J].计算机工程与设计,2004,25(6):901-902.

上一篇:电机生产企业下一篇:城市养老