电子标签芯片范文

2024-07-22

电子标签芯片范文(精选10篇)

电子标签芯片 第1篇

1 射频前端电路总体结构

超高频射频标签模拟前端主要功能是为芯片提供稳定的电压、将射频输入端得到的包络信号进行检波得到数字基带所需的信号、为数字基带提供上电复位信号、提供芯片的稳定偏置电流、为数字基带提供稳定的时钟信号等。

R F I D射频前端主要包括两个电路系统:电源电压产生和解调制电路, 由于本文主要讨论电源电压产生电路, 因此对于其他的电路模块不作详细介绍。这一电源电压产生电路也被成为RFID能量采集系统, 其意义即为该系统从电磁场中捕获能量供给芯片。如图1所示, 是射频前端电路的基本组成, 框内为本文研究的整流部分[4~7]。

各个模块的功能如下。

(1) 匹配网络——实现芯片与天线间的阻抗匹配。

(2) 反向散射——通过改变阻抗来调制载波, 向阅读器发送上行信号。

(3) 整流器——将天线接收到的交流电信号转换为直流电。

(4) 电压调节器——亦称为功率调节器, 产生稳定的电源电压, 为芯片提供全局电源, 同时起到限幅的保护作用。

(5) 参考电压源——产生稳定的与温度和电源电压无关的基准电压, 并为其他模块提供电流偏置。

(6) 其他部分对接收到的信号进行解调制, 并送入数字部分进行处理, 但这些模块工作时都需要整流部分提供稳定的电源电压, 其中包括数字部分。

1.1 匹配网络

RFID标签通常包括两个组成部分:天线和芯片, 天线的作用是感应并接收电磁场中的能量和信号传送给芯片进行信号处理, 因此这其中便存在了一个能量传输的问题, 即实际情况下天线阻抗和芯片阻抗不满足最大功率传输理论, 限制了RFID的工作距离, 因此为了改善R F I D的工作距离, 必须最大限度的利用天线从电磁场中所接受到的能量, 这也就是为什么需要做匹配的工作, 尤其是当天线的尺寸受到限制时, 天线所表现出来的电抗为阻抗匹配带来更大的困难。因此在芯片内部增加了匹配电路模块。匹配电路有多种形式, 为了减少元件的使用, 也即减少芯片面积、降低复杂度本文采用最为简单的L型匹配。

1.2 反向发射模块

在与读卡器建立连接以后, 调制电路通过接收天线接收读卡器发射的一个连续的高频电磁波的一部分能量, 同时会将这个电磁波的一部分能量反射回去。通过改变与天线相连接的电路的阻抗, 使得反射回去的电磁波的参数发生变化, 从而达到调制的目的。通常的调制方式有两种:PSK:改变电容值也就是阻抗的虚部;ASK:改变电阻值也就是阻抗的实部。

1.3 MOS型可变电容

如图2所示, 如果NMOSFET的源、漏连接在一起, 而栅电压升高, 那么, 这个晶体管可以看作是一个两端的器件, 因此对于不同的栅电压, 它的电容是可以测出的。如果偏置电压在正电源电压和负电源电压之间跳变, 那么电容值会在最大值与最小值之间变化。

1.4 电荷泵

设计采用的0.35μmCMOS标准工艺库支持肖特基二级管 (SBD) , 该SBD具有低的导通阻抗, 可以更为有效的将输入的高频RF信号转化为直流电压。采用标准C M O S工艺实现SBD, 该SBD版图采用插指结构, 这样的结构可以有效的降低版图面积, 因此在降低结电容的同时可以有效的减小串连电阻, 同时减小电容两级见的距离, 降低了电子被扫入衬底的可能性[7]。

1.5 稳压电路

这一模块主要是用来稳定电荷泵输出的电压, 为电路的其他模块以及数字电路提供稳定的电源电压。这部分电路对于芯片正常工作具有重要的作用。这部分电路对于芯片正常工作具有重要的作用。常用的稳压电路有并联稳压和串连稳压结构, 并联稳压电路主要对芯片电源电压进行稳定, 串连稳压电路提供一小于电源电压的电压源供芯片内部如时钟模块这样的对电源要求较高的模块工作, 这里我们采用串联稳压的结构。

为了减小支路电流, 降低功耗, 该电路增加了MOS管M6、M7、M8、M9, 为了减少额外的偏置电路采用了自偏置的机构, 通过增加的电阻R1、R2为管子提供偏置电压, Q1、Q1为比例为1∶8的PNP三级管, 产生无温飘的电压。因为电路VDD为3.3V, 因此参考电压从A点取出, 为1.9V。但是在与电源无关的偏置电路中有一个很重要的问题, 即存在“简并”偏置点, 当电源上电时, 所有支路电流为零, 而且该电路允许零电流的状态, 则该电路会无限期的保持关断。因此该电路中必须加入启动电路部分, 以打破这种零电流的状态。最终得到的稳压电路。从输入为18%ASK调制信号 (峰值为500mV和340mV) 时稳压电路输出电压波形来看, 输出电压稳定在3.34V, 电压纹波在允许范围内, 可以满足芯片对电源的需求。

1.6 基准电压产生电路

基准电源电路在整个设计中占有举足轻重的位置, 基准电源的输出电压与温度、电源电压的关系是否稳定、一致, 能否达到设计要求, 是电路设计设计成败的一个关键。在CMOS集成电路设计中, 除了电源电压外, 还必须有其它提供电位的偏置电路。在集成电路的输入电压范围内 (如2.7V至11V) 工作温度范围 (自然通风) 为-10℃至75℃, 在这个范围中, 偏置电路提供的输出以及基准的电压输出均应保持稳定。

因为本次流片采用的是3.3V电源电压供电, 但这一电源电压并不理想, 降低电源电压是一种必然的趋势。不论是那种结构的基准电路其基准电压产生的原理是相同的, 即通过正负温度特性的电路参数叠加, 从而产生零温度系数的基准电压。

电压参考源的设计大致分为三种, 第一种以齐纳二极管为主, 它的电路组成形式极其简单, 也可以形成一个很稳定的输出电压, 并且不受负载电流或是电源电压波动的影响, 但缺点是齐纳二极管一定要工作在崩溃区, 所以它的工作电压至少在8V以上, 芯片系统工作电压较低时不能正常工作, 即使能正常工作, 功耗也很大。第二种电压源电路时利用场效应晶体管中增强型与耗尽中的临界电压不同, 形成的电路, 虽然也可以达到很稳定的电压, 但是由于限制在两种临界电压, 所以制作很敏感, 经常输出电压产生误差。第三种就是由正温度系数的电路来补偿由PN结所产生的负温度系数, 我们也称为就是能隙参考电压源。

1.7 带隙基准

针对如何设计一个低温度系数和高电源电压抑制比的基准电压源作了详细分析。该基准源在产生基准电压的同时为电路的其他部分提供衡流偏置。

从电路的实际工作环境考虑, 电源电压的变化范围是3V~24V, 温度变化范围是-40℃~75℃。本带隙基准电压源的设计指标为: (1) 输出的基准电压在1.22V左右; (2) 电源抑制比为100dB; (3) 基准电压的温度系数小于10ppm/℃。

2 结语

依据协议规定, 本文设计了标签芯片的整流系统, 包括整流电路、稳压电路、匹配电路、反向发射电路等模块, 采用Chartered Semiconductor Manufacturing 0.35um EEPROMCMOS工艺对所设计的915MHz UHF射频识别应答器射频前端进行了流片验证;测试结果表明芯片整流系统工作正常, 整流系统电压稳定。

利用UHF超高频电磁波进行工作的无源射频识别 (RFID) 应答器的研究和设计工作成为现在国内以及国际上的研究热点, 有着非常关键和重要的意义。在将来的一段时期内, UHF射频识别系统尤其是应答器仍然会是电路设计中的热点, 相信随着技术的不断积累, 我们的UHF RFID的设计水平也将逐步提高。

参考文献

[1]周文豪.浅谈中国RFID的现实问题和发展策略[J].RFID世界网, 2007.

[2]Vince.Stanford, Pervasive Computing Goes the Last Hundred Feet with RFID Systems.pervasive computing.2003, 2 (2) :9~14.

[3]D.Mawhinney.Microwave Tag Identi-fication Systems.RCA Rev, 14 (4) :589~610.

[4]赵洪新, 洪伟, 微型、低功耗微波反射调制器的研究[J].微波学报, 2009, 17 (3) .

[5] (德) Klaus Finkenzeller[著], 陈大才[译].射频识别 (RFID) 技术——无线电感式的应答器和非接触IC卡的原理与应用[M].北京:电子工业出版社, 2001:10~12.

[6]游站清, 李苏剑, 等.无线射频识别技术 (RFID) 理论与应用[M].北京:电子工业出版社, 2004:29~31.

电子标签芯片 第2篇

摘要:介绍了一套基于AT88SC6416CRF开发医院门诊电子病历的射频识别系统设计方法。该系统既能方便安全地记录门诊病人相关的各种就诊信息,又能确保数据在传输过程中的安全性,完全可以满足门诊电子病历的基本要求。

关键词:射频识别系统?电子病历?AT88SC6416CRF

1引言

射频卡(简称RF卡)是一种新式非接触IC卡,具有使用寿命长、对读写设备(PCD)无物理磨损、不存在因接触而引起病菌交叉传染等优点,所以特别适用于在医院这种特殊场所进行信息储存。RF卡的关键部分为镶嵌在卡片内部的RF芯片,下面以AT-MEL公司的AT88SC6416CRF射频卡芯片为例来讨论其在门诊电子病历中的应用。

2芯片简介

AT88SC6416CRF射频卡芯片在出厂时已被做成封装好的微型模块,其体积仅为5.06×8.00×0.38mm3。内部带有64kB的用户EEPROM和2kB的系统EEPROM,遵循ISO14443B标准,使用13.56MHz频段,内设防重叠系统,可支持多卡同时使用。该器件采用3组64位长、相互加密校验的密钥和密码对,能重复写100?000次以上,数据可保持10年,并可抵抗各种恶劣的使用环境,温度使用范围为-40~+85℃。AT88SC6416CRF采用开放式结构,具有良好的兼容性,允许用户自行选择读卡设备同时与常用的PHILIPS公司的MC531兼容,而且价格低廉,每个芯片售价不到7元人民币。

3内部结构

AT88SC6416CRF射频卡芯片内部分为RF射频接口电路和其它数字电路两大部分,其功能组成框图如图1所示。

3.1系统配置区结构

AT88SC6416CRF内有大小为2.048bit的EEP-ROM单元,称为系统配置区,专门用于存储系统数据,如密码、数据编码方式和用户内存中每个扇区的安全级别定义等。对配置区的操作可通过安全熔丝技术对卡的配置区等特定部分的编程写入进行控制。该类操作只能由系统开发者以规定的控制时序逻辑来完成,普通的最终用户?如电子病历卡的使用者?无法改变其中的信息。

3.2用户区内存结构

AT88SC6416CRF给用户提供有8192个字节?64kB?的EEPROM存储容量,整个用户内存划分为16个扇区,编号为扇区0~扇区15。每个扇区有16个页(page),每个页的长度为32个字节,这样,一个扇区共有32Byte×16=512Byte。用户区内存具体的分配结构如表1所列。

表1用户EEPROM具体分配结构

扇区000000100004005006007共512个字节1F81F91FA1FB1FC1FD1FE1FF扇区1~扇区14000……1FF扇区15000001002003004005006007共512个字节1F81F91FA1FB1FC1FD1FE1FF

3.3用户区内存的存取

在读卡器(即PCD)对RF卡进行配置时,将会加载安全认证协议,并对每一次读/写操作的当前密码进行双向校验,同时用此安全认证机制来验证数据的正确性。为了保证每个用户扇区的数据安全,每个用户扇区可以配置成自由读/写数据,或者设置操作密码。各个不同的用户扇区可以设置自己互不相同的密码。AT88SC6416CRF芯片内部设有特殊计数器,同时可以限定尝试密码的次数,还可以有效地抵抗外界暴力破解密码的攻击。具体的安全认证过程如图2所示。

在RF卡和识别系统建立起信任关系前,首先应将自己的卡号送给识别系统,以使识别系统计算出一个验明身份的ChallengeA,并将它送给RF卡进行校验。RF卡接着计算验明身份的ChallengeB,同时将它送回给识别系统进行校验。完成第一次双向校验后,识别系统开始读取密码,并由RF卡对读取的该密码进行检查,检查通过后,将发送检验用的Checksum和数据给识别系统,以便由识别系统对Checksum进行校验。完成上面的第二步双向校验后,识别系统将写密码、数据和Checksum送给RF卡,再由RF卡对Checksum进行校验。完成这第三步双向校验后,双方即可建立起信任关系,同时识别系统即可对RF卡执行读/写操作。

在用户卡与识别系统进行初始化系统配置区时,应当将不同类型的数据存储在不同的区域(即存储在多扇区的结构内),而且只有在分别进行了安全认证后,才能进行存取操作;实际上,也可以给多个扇区选择同样的安全模式,以便把这些扇区当作一块整体存储区域来操作。这种特点为该卡的使用带来了极大的灵活性。

4工作原理

笔者设计的这种射频识别系统是由镶嵌有AT88SC6416CRF以及天线的用户卡片(PICC)和读写PICC的PCD系统共两部分组成。其中PCD有两种构成方法,第一种是用现成的ISO14443B读写OEM模块,它带有与PC机可以直接相连的接口,因而可以方便地实现对PICC的操作;第二种方法是只使用专用芯片RF531,它可与MCU(如AT89C52)一起构成PCD,该种方法比较复杂,但由于是从底层做起,灵活程度高,成本也较低。本文具体讨论后者,其电路主要结构如图3所示。

这种方法构成的.整个射频识别系统的基本原理是:根据ISO14443-2规定的要求,用PCD来产生通信的射频场,其工作场频为13.56MHz,该射频场主要通过发射天线给作用范围(10cm)内的PICC传送功率。首先由PCD向PICC发一组固定频率的电磁波,在电磁场的激励下,RF芯片内的LC串联谐振电路产生共振,从而使电容内有了电荷。在这个电容的另一端接有一个单向导通的电子泵,它可以将电荷送到另一个电容内并储存,当所积累的电荷达到规定的电压值时,此电容可作为电源对卡内其他部分的电路提供工作电压,同时将RF芯片内的数据发射出去或接收PCD的数据。

PICC和PCD之间的双向通信遵循ISO14443-2通信标准,主要的通信参数有位持续时间、二进制相移键控、调制系数、不归零NRZ-L位编码方式和副载波。双方具体的识别和对话过程如下:PCD的射频工作场激活→PICC静待来自PCD的命令→PCD命令的传送→PICC响应的传送。

实现整个系统的设计程序代码比较复杂,限于篇幅,本文将不一一列举,有兴趣者,可以参考其它有关文献。

5典型应用

芯片令电子产品越来越聪明 第3篇

最原始的芯片

20世纪上半叶,各种电器的特征之一是十分庞大。比如,那时的电脑就很吓人,20世纪40年代的一台电脑的各种电子元件充满了一个几十平方米的房间。为什么那时的电器那么大呢?这是因为那些电器中的电子元件是用真空管制成的,那是一种被抽成真空的玻璃管,内有阴、阳两极,电子会由阴极流向阳极。1947年,美国的贝尔实验室发明了晶体管,电子仪器才开始走上逐渐“瘦身”的过程。

晶体管就像固态的真空管,制造晶体管的材料是半导体,原料包括硅、锗、砷化镓等。晶体管的出现,令工程师能设计出更多更复杂的电路。可是,体积细小的电子零件却带来另一个问题,就是需要以人手焊接把这些零件焊接在一起。但是,这是一件费力不讨好的工作,不仅要花费大量时间和金钱,失误率也很高。为此,美国军方开始推进“微模块计划”。这个计划的基本思路是将所有不同类型的电子零件制成划一的大小和形状,并在生产时加上电线。这样,在组装零件时,便可将大小划一的电阻、电容和晶体管等,像搭建积木般组装成设计的电路,免去焊接的烦恼。

1958年年初,杰克·基尔比进入“微模块计划”研究小组。随着研究的深入,基尔比认为军方的设计思路有问题,因为那不能从根本解决将大量电子零件整合成电路时的困难。于是,基尔比开始构思他自己的一套解决方案,他认为可以抛开那些电子零件,直接在一块半导体上接上电线充当多个晶体管。1958年9月,基尔比成功将一组电路安装在一片锗半导体上。人类历史上第一块原始的芯片产生了,它在很长一段时间内被称为半导体集成电路。基尔比因为这个发明在42年后获得了2000年诺贝尔物理学奖。

第一款实用芯片

1959年,英特尔公司的始创人之一诺宜斯继续改进基尔比设计的芯片,他们在硅晶体的表面铺上不同的物料来制作晶体管,并在连接处铺上一层氧化物作保护。以硅取代锗使芯片的成本大为下降,令集成电路的大规模生产变得可行。可以说,是英特尔公司设计出了第一款实用的芯片。到20世纪60年代末期,接近90%的电子仪器是以芯片制成。

我们现在对芯片的定义是内含集成电路的硅片。其制作方法是一次性把所有的组件(主要是晶体管)一次性地用照相印刷的方法印制在硅片上,外观看上去像是画在硅片上的电线。芯片最重要的应用是充当中央处理器,也称CPU或微处理器,它是电脑和其他智能电器的运算控制部分。从20世纪60年代开始,电脑的体积越来越小,而运算速度却越来越快,功能越来越强大。这都归功于芯片对晶体管的集成度越来越高。

1965年,英特尔公司的创始人之一摩尔提出了著名的“摩尔定律”:当价格不变时,一块芯片上可容纳的晶体管数目,约每隔18个月便会增加一倍,电脑的性能也将提升一倍。当时,摩尔的实验室也只能将50只晶体管和电阻集成在一个芯片上,他却预测1975年的芯片将会有6.5万个晶体管。摩尔当时的预测听起来好像是科学幻想,然而后来的技术发展证明摩尔是对的,1975年的确出现了集成了6.5万个晶体管的芯片。至今,最先进的芯片已集成了17亿个晶体管。

芯片的未来之路

我们通常认为,充当微处理器的芯片只存在于电脑中。其实,微处理器已经无处不在,无论是数码相机、智能洗衣机、智能冰箱、互联网电视机、智能手机等家电产品,还是汽车引擎控制,以及数控机床、导弹精确制导等都要嵌入各类不同的微处理器。芯片不仅是电脑的核心部件,也是各种数字化智能设备的关键部件。未来,各种智能电子产品提高性能的关键因素之一就是提高芯片的运算速度。

从芯片的发展历史我们可以看出,要提高芯片的运算速度,就得集成更多的晶体管。这正是芯片的未来发展趋势。随着纳米技术的进步,晶体管可以小到纳米尺度。这不但可以使得芯片变得更小,而且芯片上集成的晶体管数量会更多。然而,如果一块越来越小的芯片上集成越来越多的晶体管,就会带来一个很严重的问题,那就是在运算时会产生过多热量。过热会降低芯片的运算速率,甚至可能烧坏芯片。

为了解决芯片的过热问题,除了改进散热方法外,科学家还想出了新的办法,在一块芯片上集成多组小芯片,这就是现在已经出现的多核芯片。目前,运算速度最快的芯片是英特尔公司生产的Xeon Phi,这块芯片居然有60个核,运算速度高达每秒1万亿次。现今国际上的超级计算机也都是采用多核芯片来建造。未来的芯片的“核”会越来越多,电脑的运算速度也就越来越快。

电子标签芯片 第4篇

关键词:超高频,射频识别,ISO18000-6C/B标准,CMOS

0 引 言

超高频无线射频识别(RFID)技术具有非接触式、识别速度快、作用距离远、存储容量大、可多卡识别等优点,已广泛应用于生产、零售、交通、物流等行业[1]。UHF RFID无源标签芯片作为超高频射频识别系统的核心组成部分,近年来一直是国内外研究的热点。研究和设计低功耗、小尺寸、高动态范围的模拟射频前端,可以解决UHF RFID标签芯片的关键技术难题,并推动超高频标签芯片快速发展。

在此针对ISO18000-6C/B标准,研究和分析了UHF RFID无源标签芯片的系统组成以及模拟射频前端的电路方案。基于Cadence Spectre设计仿真平台和TSMC 0.18μm CMOS混合信号工艺,对模拟射频前端的整流电路、稳压电路、ASK调制/解调电路、上电复位电路、时钟产生电路等核心模块进行了设计与仿真,通过MPW项目流片实现。最后,给出了芯片各模块的测试结果。

1 标签芯片工作原理与系统结构

UHF RFID系统主要由后台数据处理计算机、RFID阅读器和电子标签三部分组成。当处在阅读器的电磁场范围内时,无源电子标签通过电磁场耦合获得能量,利用整流电路将交流转变为直流,对内部其他模块进行供电。标签通过ASK解调电路从射频脉冲中解调出指令和数据,并送至基带数字电路模块。基带数字电路根据接收到的指令进行一系列数据操作。标签通过控制天线接口的阻抗,从而改变天线接口的反射系数来对数据信号进行调制。数字电路的系统时钟由本地振荡器产生。UHF RFID标签芯片系统框图如图1所示[2]。

系统包括模拟射频前端和数字部分。模拟射频前端主要实现电源产生、调制/解调、时钟产生、上电复位等功能。数字控制部分控制着标签内部数据的流向,按照接收到的指令,控制标签进行状态转换、存储及返回所需要的内容,包括命令解析、数据编码、数据存储、读/写等功能。

对于UHF RFID无源标签芯片,难点在于如何实现超低功耗的电路设计[3]。由于芯片不带电池,芯片内部各模块工作所需电源完全依靠感应阅读器所发送的电磁波,整流电路将天线获得的射频能量进行转化并存储在储能电容中的直流能量。例如按照北美标准,阅读器的等效全向辐射功率(EIRP)为36 dBm。在自由空间中,电磁波在5 m距离处衰减约45.5 dB,标签所获得的最大功率不超过100 μW,而供芯片内部使用的功率仅为几十μW。为了达到最大的阅读距离,需要在两个方面做出努力:减小模拟和数字部分的功耗;提高整流电路的整流效率。

2 模拟射频前端各模块电路设计

2.1 整流电路

整流电路的功能主要是将天线感应的射频能量转化为供后级各模块使用的直流能量,整流电路的电路结构如图2所示。N级整流电路包含2N只整流二极管和2N只耦合电容,与输出相连的电容为储能电容。天线的两端RFin+和RFin-直接或者通过匹配网络连接到整流电路的输入端,通常RFin-端接地。下标为奇数的电容与下标为偶数的电容分别在输入电压的负半周期和正半周期进行充电、储能,从而产生直流电压,表达式为[4,5]:

undefined

式中:VDD是整流电路的输出直流电压;VpRF是输入射频信号的幅度;VfD整流二极管的正向电压;N是采用的整流级数。从式(1)中可以看出,整流二极管上消耗的电压越小,输出电压越大,也意味着其尺寸越大,将导致其反向泄露电流增大,从而降低整流效率。因此,设计中需要对各种指标进行折中。根据UHF RFID标签芯片系统需要,所设计的整流电路可以实现高低两个电平输出。

2.2 稳压电路

稳压电路是将整流电路输出直流电压稳定在特定电平上,为整个标签芯片提供稳定的工作电压。由于标签空间位置的不确定性,使其与读/写器的距离相应不固定,以至于标签天线接收的功率变化可达1 000倍以上。因此,需设计稳压电路,以保证标签芯片不会由于物理位置变化引起直流工作电压幅度的改变,从而增大标签芯片的工作动态范围。

稳压电路的结构如图3所示。稳压电路的基本原理是将输出电压的和芯片内部的基准电压进行比较,比较的结果通过误差放大器放大,输入到调整管的栅极,改变调整管的栅源电压,调节其输出电流来跟踪负载,从而使低压差线性稳压器的输出电压稳定[6]。

2.3 上电复位电路

射频标签供电电源建立成功后,必须给电子标签中的数字电路提供一个启动信号来使电路处于Stand by状态,等待数据帧的开始。这个启动信号由上电复位电路提供[7]。

上电复位电路结构如图4所示。

工作原理如下:随着电源电压VDD的升高,由于C1和反相器中4个长沟道PMOS的延迟作用,使得采样电路输出的低电压VB经过反相器得到的C点电压VC与电源电压VDD之间的压差大于晶体管MP10的阈值电压,且能为C2赢得足够的充电时间。当充电到电容C2上的电压VE大于整形电路第一个反相器中晶体管MN6的阈值电压时,晶体管MN6导通,输出电压VF翻转为低电平。再经过反相,在整形电路的输出端可以得到复位信号的上升沿。充电完成后,紧接着C2通过晶体管MN5放电,通常放电速度比充电速度更慢。当放电到C2上的电压小于晶体管MN6的阈值电压,晶体管MN6截止,输出电压VF翻转为高电平,此时在整形电路的输出端得到复位信号的下降沿。

2.4 解调电路

对于超高频RFID标签芯片的ASK解调电路,通常采用包络检波方式。解调电路的框图如图5所示。按照18000-6C/B标准,电路输入信号的包络频率范围为40~160 kHz,脉宽失真小于10%。包络检波器由一级Dickson电路和R2,C3组成的低通滤波器组成[8]。产生的包络信号先送入比较器的负端,再通过低通滤波为比较器提供参考电压。比较器采用迟滞比较器,具有良好噪声抑制性能、高动态范围等特点。采用两级反相器目的是将输出电压进行整形,产生规则的方波信号。

随着RFID标签距离阅读器远近不同,输入的射频信号幅度可能在几百mV到几V之间变化,包络检波器输出的直流电平会有很大变化。在包络检波器输出端并联一个泄流电路,其作用是在输入信号过大时对后端比较电路起到泄流稳压的保护作用,从而避免后端电路工作失常。为了降低功耗,泄流电路在输入电平较小时需保持关断状态。

2.5 调制电路

根据标准要求采用反向散射的调制方法,通过改变芯片输入阻抗来改变芯片与天线间的反射系数,从而实现ASK调制[9,10]。天线阻抗与芯片输入阻抗在“0”状态下共轭匹配,而在“1”状态下存在一定失配。图6为调制电路框图,电容C1并联在天线两端,晶体管M1等效为一个开关,通过控制开关的开启,决定了电容是否接入芯片输入端,从而改变了芯片的输入阻抗,最终实现ASK调制。

2.6 时钟产生电路

时钟产生电路采用环形振荡器电路,并加入电压和温度补偿电路,保证在不同的工作电压和温度下,频率偏移在规定的范围(±1%)内,电路框图如图7所示。电压补偿主要依靠一个电压基准电路产生一个基准电压源,提供给五级环形振荡器作为工作电压,这样就能保证在输入电压在0.9~1.1 V变化范围内,最大频偏能满足要求。环形振荡器的振荡频率呈正温度系数特性,故需加入一个负温度系数的补偿电路[8],并优化五级环形振荡器的有源器件的宽长比,使其温度系数恰与自身的温度系数互补,使时钟产生电路输出频率稳定。

3 测试结果

基于Cadence Spectre设计仿真平台和TSMC 0.18 μm CMOS混合信号工艺,对UHF RFID标签芯片模拟射频前端进行设计和仿真,并通过MPW项目流片实现。模拟射频前端芯片不含测试焊盘的核心电路的芯片面积为490 μm×420 μm,图8是芯片实物照片。

使用Agilent E4432B信号源对模拟射频前端进行激励,输入载频为915 MHz的ASK调制信号。图9为整流电路输出波形,并测得稳压电路高、低输出电压分别稳定在1.0 V和1.8 V。图10解调电路的输出波形,可看出该电路能正确解调40~160 kHz的ASK调制信号。图11(a)是上电复位电路输出波形,脉冲宽度大于30 μs。时钟产生电路输出如图11(b)所示,可看出波形近似方波且占空比约50%。使用Agilent N5230A矢量网络分析仪给芯片输入频率为915 MHz,功率-5 dBm的测试信号,测得“0”和“1”两种状态下标签反射系数相差12%。

4 结 语

这里设计了符合ISO18000-6C/B标准的UHF RFID无源标签芯片模拟射频前端。模拟射频前端包括整流器、稳压电路、调制解调器、时钟电路和上电复位电路等模块。采用TSMC 0.18 μm CMOS混合信号工艺设计、仿真、流片,其核心面积为490 μm×420 μm。测试结果表明,该模拟射频前端各模块性能能够较好地满足UHF RFID标签芯片的系统指标要求。

参考文献

[1]梁远亮.UHF频段无源标签芯片射频部分的研究与开发[D].广州:暨南大学,2006.

[2]闫娜.低功耗低成本无源射频识别标签芯片的研究与设计[D].上海:复旦大学,2007.

[3]Vita G De,Iannaccone G.Ultra Low Power Series VoltageRegulator for Passive RFID Transponders with Subthresh-old Logic[J].Electronics Letters,2006,42(23):1 350-1 352.

[4]Nhan Tran,Bomson.Development of Long-range UHF-band RFID Tag Chip Using Schottky Diodes in StandardCMOS Technology[A].Radio Frequency Integrated CircuitsSymposium[C].USA,2007.

[5]袁炜,张春,王志华.超高频无源射频标签的射频接口设计[J].微电子学,2006,36(6):817-819.

[6]Milliken R J,Silva-Martinez J,Sanchez-Sinencio E.Full On-chip CMOS Low Dropout Voltage Regulator[J].IEEETrans.on Circuits and Systems I:Regular Papers,2007,54(9):1 879-1 890.

[7]Udo Karthaus,Martin Fischer.Fully Integrated PassiveUHF RFID Transponder IC with 16.7μW Mini mum RFInput Power[J].IEEE.Solid-State Circuits,2003,38(10):1602-1 608.

[8]孙旭光,张春,李永明,等.超高频无源RFID标签的一些关键电路的设计[J].中国集成电路,2007,16(1):29-35.

[9]Zhang Li,Wang Zhenhua,Li Yongming,et al.Clock Genera-tor and OOK Modulator for RFID Application[J].Journal ofZhejiang University Sciense,2005,6(10):1 051-1 054.

几款功放芯片与效果器芯片简介 第5篇

TDA1521/TDA1514A

TDA1521/TDA1514A是荷兰飞利浦公司专门为数字音响在播放时的低失真度及高稳度而设计推出的两款芯片。所以用来接驳CD机直接输出的音质特别好。其中的参数为:TDA1521在电压为±16V、阻抗为8Ω时,输出功率为2×15W,此时的失真仅为0.5%。TDA1514A的工作电压为±9V~±30V,在电压为±25V、RL=8Ω时,输出功率达到50 W,总谐波失真为0.08%。输入阻抗20KΩ, 输入灵敏度600mV,信嘈比达到85dB。其电路设有等待、静嘈状态,具有过热保护,低失调电压高纹波抑制,而且热阻极低,具有极佳的高频解析力和低频力度。其音色通透纯正,低音力度丰满厚实,高音清亮明快,很有电子管的韵味。以上两款功放的外围零件都比较少,是“傻瓜”型的功放芯片,非常适合初级发烧友组装,只要按照电路图,不需调试就可获得很好的效果。由于该芯片的输入电平比较低,我们在制作是不需前置放大器,只要直接接到我们的电脑声卡、光驱、随身听上即可。著名的电脑多媒体音箱漫步者也是采用这两种芯片。

LM3886

LM38863TF是美国NS公司(美国国家半导体公司)于90年代初推出的一款大功率音频功放芯片。该芯片的主要参数:工作电压为±9V~±40V(推荐±25V~±35V)RL=8Ω时的连续输出功率达到68W(峰值135 W)。如果接成BLT时的输出功率可以达到100W,而它的失真小于0.03%,其内部设计有非常完善的过耗保护电路。本人也在使用使芯片,它的音色非常甜美,音质醇厚,颇有电子管的韵味,适合播放比较柔和的音乐。NS公司 还有LM1875、LM1876、LM4766等大家都熟悉的芯片,其中LM4766是最新的,为双声道设计,内含过压、欠压、过载、超温等保护电路。其输出功率不小于2×40W.低音深沉而有弹性,颇具胆机的风格。

TDA729

4TDA7294是欧洲著名的SGS-THOMSON意法微电子公司于90年代向中国大陆摧出的一款颇有新意的DMOS大功率的集成功放电路。它一扫以往线性集成功放和厚膜集成的生、冷、硬的音色,广泛应用于HI-FI领域:如家庭影院、有源音箱等。该芯片的设计以音色为重点,兼有双极信号处理电路和功率MOS的优点。具有耐高压、低噪音、低失真度、重放音色极具亲和力等特色;短路电流及过热保护功能使其性能更完善。TDA7294的主要参数:Vs(电源电压)=±10~±40V;Io(输出电流峰值)为10安培;Po(RMS连续输出功率)在Vs=±35V、8Ω时为70W,Vs=±27V、4Ω时为70W;音乐功率(有效值)Vs=±38V、8Ω时为100W,Vs=±29V、4Ω时为100W。总谐波失真极低,仅为0.005%。另外,SGS-THOMSON意法微电子公司还有几种代表作的功放芯片,如:TDA7295 TDA7296 TDA7264、TDA2030A(我们常用的麦蓝低音炮就是采用此芯片)等。

LM4610N

LM4610是美国国家半导体公司的高品质直流控制音响电路。它是一块利用直流电压控制音调、音量和声道平衡的立体声集成电路,并且具有3D音场处理、等响度补偿功能。该电路控制平滑流畅,音质自然流畅,高频清晰、解析力佳,其产生的3D环绕声场具有

很强的三维空间感和包围感,主观感觉与SRS的效果类似。LM4610N的主要电气参数如下:具有3 D声场处理功能和响度补偿功能。响度补偿是针对人耳在音量较小时对高低频信号的灵敏度下降,因而在不同音量时对高、低频端作适度的提升补偿,使人耳在任何响度下始终听到平坦、均衡的响应。它的电压范围是:9V~16V(典型为12伏,电流为35毫安);失真度仅0.03%;信嘈比高达80dB;频宽达250 kHz,音量调节为75dB;平衡调节为1~20dB;音调调节范围为±15dB;最大增益2dB;LM4610N具有输入阻抗高(30Ω),输出电阻低(20Ω)的优点。用LM6410N音调控制电路对提高音质和加强低频力度及三维空间感作用突出。可以说LM4610N是组装功放系统或替换调音部分的精品。

BBE技术

BBE是一种声音增强和改善的专利技术。它的全称是Barcus-BerryElectronice,是美国BBE.sound公司于1985年开始就推出市场的新技术。一出现就得到广泛的应用,比如国外的松下、索尼,国内的TCL、创维、乐华等新一代彩电。在录音和唱片上也纷纷利用BBE技术,而一些广播电台如加拿大的广播公司、瑞士国际广播、韩国广播及日本的NHK政府开通的广播电视系统,都应用了这种技术。高解析力BBE电路XR1075 XR1075是美国XEAR公司最新推出的高解析力 BBE芯片。是在XR1071的基础上,采用新的双极性技术,使其芯片的噪声系数更低、总谐波失真更小,而芯片的体积更小,外围元件进一步简化,高低频延伸、高频解析力增强调节范围和低频补偿范围均比XR1071更宽。高频调节范围-0.5~+13 db,低频补偿调节范围-0.5~+13db.数码超重低音处理器M51134P M51134P

是日本三菱公司专门为AV影音系统开发的专用超低音检测加强电路。其内部包括:频率检测、调整器、电平检测、低通滤波VCA压控放大等。原理是采用数码滤波方式检测输入信号中的低频 成分的电平的高低,加强相应低频成分并进行低频动态扩展(又压控放大器完成),其原理与一般的低通滤波器形式的重低音加强电路不同。M51134P提供的重低音效果有强烈的震撼感,特别是雷声、炮声、爆炸声等尤为突出。M51134P只是检测低于120Hz的信号,如果输入信号中没有低于120Hz的成分,则没有输出。

最新标准虚拟杜比环绕声芯片QS7779/QS7785

QS7779/QS7785是加拿大Qsound音频实验室推出的单片虚拟化环绕音效处理电路,是目前业界公认的处理效果最接近自然原声的虚拟杜比环绕芯片!QS7779为2入2出方式,QS7785为2入5出,两者内部都包括了杜比定向逻辑和DVD(AC-3)混合信号解码器,使用Qsound实验室的专利Qsurround虚拟环绕技术,并由Qsound实验室授权使用,该芯片的主要功能是:(1)如果输入的是普通的立体声信号,则进行立体声效果增强:(2)如果输入的是2声道的矩阵编码信号(杜比定向逻辑或混合AC-3信号)则先将其解码,再虚拟化合成2声道或5声道输出。QS7779主要特点: 1.内带杜比定向逻辑和 DVD(AC-3)混合信号解码输器,使用2只扬声器实现虚拟化环绕声。2.信噪比11db, 动态范围110db.QS7785主要特点: 1.内带杜比定向逻辑和 DVD(AC-3)混合信号解码输器,解出的环绕信号为2声道全频带,和AC-3环绕声相同,优于杜比定向逻辑系统。2.前方采用3 D立体声增强技术,后方采用3D合成虚拟环绕技术,分两种增强方式(低增强和高增强),具有中置输出及低音增强功能。3.使用5声道实现环绕声,也可用2声道输出方式。4..信噪比11db, 动态范围110db

电子标签芯片 第6篇

笙科电子 (AMICCOM) 自2005年11月作为联笙电子的一个RF部门独立出来之后, 一直致力于专门从事CMOS制程的短距离无线通信RF芯片的研发, 借助管理层与技术团队在RF领域数十年的经验和自有知识产权, 该公司为客户提供高效、低成本和高集成度的RF前端IC产品。

笙科电子的射频IC应用于无线数据通讯、移动通讯与卫星通讯三大领域, 在无线数据通讯方面, 涵盖2.4GHz及1GHz以下两大技术, 集中应用在PC、工业、消费电子与汽车等热门领域的无线传输产品。在卫星通讯领域, 笙科是少数将射频IC卖入卫星电视接收器LNB (低噪声降频器) 的厂商。笙科专注于无线芯片的蓝海中, 借助技术和服务优势从中寻找自己的机遇。

自公司成立以来, 笙科电子一直保持着高速增长势头, 业绩逐年翻番。这样的表现为公司的发展赢得了全新的机遇。2012年6月27日, 笙科电子在中国台湾地区股市登录兴柜, 标志着该公司的光明前景得到投资界的一致认可。而在技术方面, 借由CMOS的先进封装技术带来的易用性和长期开发经验, 笙科电子的产品从稳定性、产品研发的持续性都有明显的技术优势。对笙科电子而言, 另一个新机遇是在中国大陆市场的光明前景, 笙科电子的产品企划部经理陈沛贤表示, “笙科电子目前大部份的市场份额来自于中国大陆, 特别是在游戏、消费类无线应用与一些工业市场, 物联网则是公司未来一个非常看好的市场, CMOS的RF芯片将在这个市场有非常好的表现, 由其在高整合低功耗的应用上, 会成为笙科电子一个重要的增长动力。”服务, 是笙科电子对中国市场未来出色表现最大的信心来源, 出色的产品设计, 贴近市场的产品策略, 服务沟通无障碍, 在深圳与上海设置专门客户支持, 客户需要的时候可以上门贴心服务”, 足够确保笙科电子在中国市场的表现节节高升。

车王电子:从做电动工具到车用芯片 第7篇

开车倒车时可看见后方影像, 而且零死角, 在夜间毫无光源时, 却能像猫头鹰眼睛一样锐利, 这样的智慧汽车功能已经不只是想象。位于中台湾的车王电子公司, 结合台湾最强的资讯、通讯技术, 开发出倒车安全影像与夜视摄影系统, 并向电动汽车产业迈进。

结合台湾资讯、通讯技术, 开发车用产品

这也让过去一年来, 车王电子营业收入比上一个年度增长14%、获利增长1.7倍、股价更涨了1.8倍。为什么有这么大的改变?进一步摊开营收报表可以发现, 在2006年, 该公司营收有八成来自电动工具, 剩下则是汽车电子产品:现在车用电子占营收75%, 电动手机床15%, 新兴的胎压侦测器、倒车影像、夜视系统约占10%。

原来当台湾网购、宅配业务越来越发达, 车王电子的倒车安全影像系统就卖得越好, 因为货运车穿梭大街小巷, 行驶安全性比家用车更重要, 于是, 它们比一般家用车更快导入智慧安全产品, 倒车全面采用倒车安全影像系统, 例如新竹货运就是用车王电子的产品。

只是, 让人好奇的是, 一家原本生产电动工具的公司, 怎么能开发出这样的产品?

原来车王电子懂得善用台湾资讯、通讯技术。该公司董事长蔡裕庆说, 在台湾这个屋檐下, 同时具备半导体、液晶面板、资讯与通讯、精密加工、模具与塑胶加工能力, 甚至还有光学镜头, 这是全世界都找不到的。车王电子就是用岛内企业生产的光学镜头, 搭配本身在车用电子的开发设计能力, 开发出倒车影像系统, 接着又开发出夜视行车系统。

台湾的光学镜头搭上车用系统有多厉害?在无光源的黑夜之中、只开车头灯的状况下, 视线最多50米, 但搭配夜视行车系统可达150米, 而且还具备辨识功能, 让人眼和猫头鹰一样, 能在黑夜看到前方物体, 有危险时还能主动避让。蔡裕庆说, 这样的产品是靠汽车电子与光学技术结合, 目前正在测试大立光的镜头, 把光学镜头从i Phone手机应用在车用的领域。

其次, 车王电子善用车用电子的技术, 强过资讯、通讯厂商, 而切入智慧汽车领域。原来车用规格与3C电子消费产业大不相同, 车王电子专门挑战严苛的环境。以车王电子的车用电压调节器为例, 这个产品安装在汽车引擎室内, 车王电子必须懂半导体设计, 所设计的控制发电机电压产品要能够承受汽车引擎室内的高温与高压环境。

以去年冬天北美一片酷寒气候, 汽车停在户外温度可能达到零下30度为例, 车王电子的产品是辅助引擎启动, 所以它要百分之百确保车子再冷都能正常启动;而惊人的是, 启动之后引擎室温度瞬间达到摄氏125度, 温差达到155度, 从严寒到高温短短1分钟不到, 车王电子的产品不能发生任何问题, 必须确保零故障, 否则就有无法启动的问题, 蔡裕庆说, 没有人会将手机放在这么严苛的环境中使用, 但车王电子32年来就是在这样的车用市场中奋战。

马达节能模组促成电动公交车

于是, 车王电子的第三步是整合台湾半导体、电子、精密机械技术, 跨入车用半导体芯片和电动汽车领域。在今年举办的台北国际汽车零配件、车用电子展上, 可以发现车王电子公司不再只展示电动手工具, 而是最新的半导体晶圆;原来车王电子找台积电代工, 自己开发程序, 设计出车用芯片, 客户变成了德国博世 (Bosch) 集团, 打进全球车厂供应链, 对手变成了英飞凌公司, 出货量已经超过100万个。

蔡裕庆说:这是善用大厂无法吃遍全部市场的特点, 针对特殊市场, 开发功能较简单的产品。在大厂无法全面吃下或不愿供货的情况下, 给了车王电子机会, 例如印度市场, 就不需要英飞凌的比较昂贵与复杂的车用芯片。

然而这等于让车王电子结合新竹科学园区的最新技术, 往前迈进了一大步, 从电动工具跨向更高级的汽车电子, 因此又开发出马达节能驱动模组, 出货给旗下转投资20%的华德动能公司, 这家岛内电动公交车制造商已经研发出台湾自产的电动公交车, 开始在新竹等地示范运行。

由于公交车定点、定路线行驶, 正好解决目前台湾电动车充电基础设施不足的困难, 可以沿着公交车路线设立充电站, 或回站后充电。蔡裕庆说:台湾必须利用这样的公用交通系统发展自己的电动车, 车王电子转投资华德动能, 正好在对的趋势上抓住发展电动车零组件的优势。

国产芯片崛起国科微电子三线出击 第8篇

国科微电子正是在这样的背景下迅速成长起来, 这家成立于2008年, 总部设在长沙的芯片公司, 如今已是中国芯片设计企业的代表之一。在第二十四届CCBN展上, 国科微电子携多款芯片及解决方案高调亮相, 不仅带来了广播电视最新方案, 还重点展示了固态储存、智能监控及物联网等领域的新成果。对此, 国科微电子副总经理欧阳坚表示, 作为国内首家获得国家集成电路产业投资基金注资的芯片设计企业, 在大基金的支持下, 国科微电子加速产品研发与市场布局, 并取得了阶段性成果。

在此次展会上, 国科微电子展示了GK6105S的升级产品GK6105SU系列芯片。除了标清市场, 为适应数字电视高清化趋势, 国科微电子还积极推动直播卫星的升级与产业化, 并在2015年推出了高清芯片GK6202A。

电子产品面板控制芯片的后端设计 第9篇

1 版图设计流程

电子产品面板控制芯片采用华虹NEC0.35 μm CZ6H 1P3AL工艺进行设计, 设计的目标在满足功能的前提下, 尽量减少芯片面积降低成本。在前端综合生成网表之后, 接下来的任务就是把网表转变成版图。本项目的设计要求:工作频率12 MHz, 芯片尺寸 (包括Pad) 要尽可能小、功耗不超过3 mW, 根据项目要求选择ASIC设计常用的后端布局布线工具SOC Encounter进行版图设计。由于该芯片驱动数字电视机顶盒中的LED需要80 mA灌电流, 而CZ6H工艺中提供的标准IO PAD达不到要求, 需要自行设计。另外要求芯片的工作时钟由内部产生, 因此需要自行设计50 MHz的振荡器, 经过4分频作为工作频率。将这两个自行设计模块采用Cadence公司Abstract Generator工具转变成硬宏单元后开始进行版图设计。基于SoC Encounter的电子产品面板控制芯片设计流程, 如图1所示[1]。

2 版图设计

根据版图设计流程对电子产品面板控制芯片进行版图设计, 并针对设计中出现的问题提出具体解决办法。

2.1 设计输入

设计输入是版图设计前的准备工作, 需要输入下列4种文件:由前端综合生成的网表文件、时序约束文件、硬宏单元相关文件和由芯片制造厂家提供华虹NEC 0.35 μm CZ6H 1P3AL工艺库相关文件。

工艺库中含有工艺数据、自动布局布线用的库单元物理信息及其时序信息 (定义了标准单元和输入输出单元的时延信息用于静态时序分析) 等。标准单元工艺库由华虹NEC提供, 但对于所提供的CZ6H_IO_3AL.lef文件, 电源VDD PAD (HQIV5A1B) 和GND PAD (QIG0A00) 无法与Core中的电源网络相连, 因此需要修改lef 文件:在HQIV5A1B中PIN VDD的定义中加入一行Class Core, 在QIG0A00中PIN GND的定义中也加入一行Class Core即可实现连接。

另外利用版图设计工具Virtuoso Layout Editor画的振荡器和大驱动电流IO PAD版图, 需要采用Abstract Generator工具将版图转变成SoC Encounter所需的LEF文件和时序信息文件。但对于振荡器会出现电源/地无法与Core中的电源网络相连, 因此需要手动修改lef 文件:在PIN VDD的定义中加入一行Use Power, 在PIN GND的定义中加入一行Use Ground即可实现连接。

由DC综合工具生成网表用的SoC Encounter工具进行版图设计时, 需在该网表中加入电源/地PAD单元和为不同侧PAD电源环提供电源网络连接的PAD Corner单元等。另外, 在DC综合后将导出1个时间约束文件, 该文件用于SoC Encounter工具约束布局布线阶段的时序信息。

2.2 平面规划

平面规划是对电子产品面板控制芯片的结构做出整体规划, 包括定义Core面积、设置Row结构、摆放端口Pad位置、在Core中放置振荡器和设计电源网络等。

本设计为PAD限制, 而且对芯片封装时引脚的排列顺序是固定, 另外自行设计具有80 mA灌电流的I/O PAD和标准I/O PAD宽度不同, 因此要对PAD的摆放进行认真研究, 以达到芯片的面积最小。本设计采用编写I/O 分配文件, 提供偏移量 (Offset) 直接指定所有I/O PAD的精确位置, 实现PAD间以及Corners与邻近PAD间都是紧密相连, 中间不插入任何PAD Filler单元, 从而达到芯片面积最小。

在以往的电源网络设计中, 由于没有合适的方法, 通常是根据经验进行, 而且对电源网络的分析和验证, 通常放在版图设计完成之后, 这样带来的问题是假如电源网络设计不能满足要求, 就会导致版图设计的不断反复, 延长芯片的设计周期, 推迟芯片上市时间[2]。因此, 本芯片电源网络设计采用刚开始时在不考虑电路的时序收敛等条件下快速的完成版图设计流程, 进行功耗分析得到芯片Core功耗为2.873 4 mW, 然后根据芯片Core功耗来设计电源网络。由于本设计为PAD限制, 经计算并留出较大的余量将电源环的宽度设为15 μm, 中间放置一条宽度为10 μm水平电源条。

将振荡器移到Core内部将其位置固定并给它加电源环后, 在进行电源网络连接时会出现如图2所示打上“×”的错误标记, 对这种问题的解决办法是执行addHaloToBlock命令, 用Ruler去测量振荡器到4边的外围的距离进行设置即可解决该问题。

2.3 布局

布局就是放置电子产品面板控制芯片中各个标准单元位置的过程, 在布局期间要求优化一个特定的目标函数, 这个目标函数通常包括时序、连线长度、拥塞等。本设计采用时序驱动布局将关键路径上的单元放得很近, 以缩短连线长度来减小关键路径时延[3]。但为了减少拥塞度, 要把连线均匀地分布在版图上, 以避免局部拥塞的现象, 因此对布局时的最大密度设置为50%。通过对时序分析和阻塞分析, 可知这种做法既达到时序收敛, 又不会出现拥塞, 布局效果良好。

2.4 时钟树综合

由于同步设计电路中所有的操作都需要时钟控制来实现同步, 而时钟网络在所有信号网络中负载最大、走线最长、要求最苛刻, 因此时钟树综合的质量直接影响芯片的性能[4]。时钟树综合的目的在于控制时钟传播延迟、时钟偏移和跳变时间。较大的时钟延迟对解决电路的建立时间问题不利, 较大的时钟偏移会增加寄存器锁存不稳定数据的几率, 而控制好跳变时间有利于优化时钟树的功耗。本设计先采用在自动CTS模式下, 根据时钟树规格文件中的时序约束自动决定级别数和缓冲器数, 然后根据设计中的具体情况用手动方式修改级别数、缓冲器类型以及所连接的寄存器, 以达到尽可能好的效果。通过比较时钟树综合报告文件可知, 在自动模式下, 时钟偏移为0.13 ns, 通过手动修改后时钟偏移为0.078 ns, 时钟树综合结果显示, 如图3所示。

2.5 布线

SOC Encounter在布线时分为两个阶段完成:预布线和详细布线。预布线时布线工具把整个芯片划分为多个较小的区域, 布线器只是估算各个小区域的信号之间最短的连线长度, 并以此来计算连线延迟和每个区域的布线拥塞程度, 这个阶段并没有生成真正的版图连线。详细布线时考虑信号完整性和时序驱动, 同时可修复天线效应、串扰影响和设计规则违反。详细布线工具寻找并修复短路和开路的线, 同时完成布线后优化。在详细布线时, Routing Track定义, 布图规划, setNanoRouteMode命令参数设置的冲突会引起线的开路。出现开路情况后使用verifyTracks命令可以诊断标准单元的线的开路问题, 能报告出在Blockage内部引脚的距离太远, 引脚未对齐, 引脚在Stripes下面等问题。通过对报告分析, 了解原因后进行布局调整直到解决问题。

2.6 可制造性设计

可制造性设计包括消除天线效应 (NEC0.35 CZ6H工艺不需要) 、加Core填充单元 (FILL1, FILL2) 、优化接触孔、加金属填充满足金属密度要求。

默认情况下是使用单孔进行上下层之间的连接, 在空间允许的情况下可使用双孔或多孔进行连接, 使用双孔或多孔的目的是减少过孔电阻、减少电迁移引起的失效, 有利于时序收敛和提高良率。布线工具会利用Multiple-cut Vias或Fat Vias替换掉信号过孔达到优化过孔的目的。详细布线时利用插入Multi-Cut Via或Fat Vias修复串扰。

版图是由一行行等高Row组成, 由于Row放置标准单元的利用率不可能达到100%, 因此在Row中标准单元之间可能会有大小不等的间隙[5], 这些间隙若不用填充单元进行填充, 则在物理验证工具进行设计规则检查时会产生大量DRC违规, 解决办法是加Core填充单元 (FILL2, FILL1) 。

根据CZ6H工艺中的金属密度填充规则对所有金属层加入考虑时序的金属填充, 这样可尽量避免在时钟和信号线周围加入金属填充, 而更多的是加在电源和地线周围。

2.7 验证

对执行可制造设计后的版图进行连线验证和时序验证。连线验证包括:线是否连上 (Verify Connectivity) 、网格是否正确 (Verify Geometry) 、金属密度是否达到20%等等, 运行后可以检查报告文件, 发现金属填充后存在的线与线间距的违规, 需要手工进行调整。

时序验证产生报告来检查建立时间、保持时间、最大电容和最大过渡时间是否满足要求, 本设计满足要求。

2.8 功耗、电压降和电迁移分析

对设计好的版图进行功率、电压降和电迁移分析结果如图4所示, 从中可知使电源/地PAD数量、电源环、电源条的设计符合功耗、电压降、电迁移要求。

2.9 输出

版图设计完成后, 要从版图中提取进行后端验证所需的信息, 如用于形式验证、物理验证、静态时序分析和后仿真的Verilog网表文件, 用于物理验证工具进行DRC, LVS和LPE的经过各单元GDS文件Merge后输出的GDS文件。将产生的GDS文件导入Virtuoso Layout Editor工具加入Cover单元并在相应的位置打上Label 标号, 最终电子产品面板控制芯片的版图, 如图5所示。

3 结束语

对SoC Encounter输出的版图和网表, 用Formality工具进行形式验证, 用Star-RCXT工具提取寄生参数后用PrimeTime工具进行静态时序分析, 用物理验证工具Calibre进行DRC和LVS, 采用Modesim进行流片之前的后仿真, 本设计通过上述的所有验证, 成功试制小规模样片, 利用搭建的测试台对样片进行验证证明达到了设计的要求。

摘要:采用SOC Encounter基于华虹NEC 0.35μm CZ6H 1P3AL工艺, 进行电子产品面板控制芯片的版图设计。在版图设计过程中, 采用时序驱动布局, 同时限制布局密度达到良好的效果, 利用时钟树自动综合和手动修改相结合, 使时钟偏移尽可能少。并对在电源网络连接、布线时遇到的问题, 提出解决办法。最终实现该芯片的物理设计, 结果满足时序和制造工艺要求, 并达到以下指标:工作频率12MHz, 芯片面积1.089mm2, 功耗为2.7152mW。

关键词:电子产品面板控制芯片,平面规划,布局布线,时钟树综合,可制造性设计

参考文献

[1]Cadence.SOC Encounter User Guide[Z].USA:Cadence Design System, Inc, 2008.

[2]汪珺, 罗岚.Garfield5微处理器芯片的电源网络和面积优化[J].电子器件, 2006, 29 (3) :651-654.

[3]Cong Jason, Michail Romesis, Xie Min.Optimality and Sta-bility Study of Timing-driven Placement Algorithms[C].ICCAD-2003International Conference, 2003:472-478.

[4]Wason V, Murgai R, Walker W.An Efficient Uncertainty and Skew-aware Methodology for Clock Tree Synthesis and Analysis VLSI Design2007[C].Held Jointly with6th In-ternational Conference on Embedded Systems, 2007:271-277.

电子标签芯片 第10篇

ISO/IEC 14443 与ISO/IEC 15693 标准都是针对13.56 MHz无源式RFID标签的设计标准,前者是近耦合标签,最大识别距离只有20 cm;后者是疏耦合标签,最大识别距离可达1.5 m[2]。ISO/IEC 14443 标准的RFID标签芯片应用局限于会员管理、人员考勤、购物卡、一卡通等标签卡。而ISO/IEC 15693 标准的RFID标签芯片应用广泛,可以用于开放式门禁、开放式会议签到、贵重物品管理、数字化图书馆的图书管理、医药管理、资产管理、产品防伪、物流及供应链等诸多领域。 此外,ISO/IEC 15693 协议与ISO/IEC 18000-3 协议兼容,适用范围广,市场前景广阔。

本文对ISO/IEC 15693 标准的RFID标签的编解码过程进行分析,给出了编解码系统设计的方法,并进行了仿真结果分析、FPGA下载验证。

1 VICC通信过程及传输数据格式

ISO/IEC 15693 标准的阅读器(VCD ) 与标签(VICC )之间的通信通过ASK调制方式进行, 分别使用了10%和100% 两种调制指数[3]。 VCD通过脉冲位置编码(PPM ) 的方式将数据发送到VICC, 而VICC又通过曼彻斯特编码的方式将数据发送到VCD。 在VCD与VICC的通信过程中,VICC是被动的。 VICC正确对VCD的请求进行解码,才能够做出正确的处理。 另外,返回的响应格式也由请求标志决定,所以解码过程是非常重要的。 响应编码也同样重要,它关系到VCD对VICC的状态的判断,这关系到之后的通信能否正确进行。 VICC的工作过程如图1 所示。

VICC收到的请求数据格式以及返回的响应数据格式如表1、表2 所示,每种方式可以任意组合。 表1 中的数据是对解码的要求, 按任意组合可以有4 种情况,其中10%调制与256 取1 的编码结合,适合要求识别距离相对远的情况; 表2 中的数据对应的是编码的要求,按任意组合也有4 种情况。

由于VICC是被动的, 返回的数据速率和格式由请求数据的第一个字节中的低2 位决定,最低位决定是单幅载波还是双副载波格式,次低位决定是高速率传输还是低速率传输[4],如表3 所示。

2 编解码系统的设计方案

对编解码系统进行设计时,由于VICC是被动的,采取VICC进入场之后,复位VICC解码使能置1,当解码处理完成之后,等待VICC的操作处理,当返回响应时,解码使能置0,编码使能置1。 返回结束后,编码使能置0 , 解码使能置1 , 等待下一次的VCD请求。 这样解码和编码不会冲突,而且降低了功耗。

2 . 1 解码设计的方案

对前端模拟信号传过来的波形按ISO15693 协议规定进行解码。 模拟前端传送过来的数据是4 取1 或256取1 的脉冲调制编码,对其进行解码时要抓住PPM的特点,确保这两种编码都支持。解码的流程图如图2 所示,两种编码的识别和数据的解码都是通过判断两个相邻凹槽的距离来判断。 最先识别开始帧(SOF),把256 取1模式的初始化数据定为 “255d”, 因为如果将它的SOF的后一个槽算作之前的一个数据,则它所在凹槽的位置就是“255d”;同样可以得到4 取1 模式的初始化数据为“ 10b ” 。 数值通过相邻凹槽的时间差来判断。 由于数据信号的凹槽宽度为9.44 μs, 解码的计时取9.44 μs为1,可以准确识别每个数据,并且采用此方法可以识别的最小信号宽度达到700 ns, 比协议中要求的2.1 μs~9.44 μs[3]识别的宽度范围要广。 处理更加灵活,同时减小了射频前端模拟解调的压力。 此外,ASK调制系数有10 % 和100 % 两种, 信号与时钟进行 “ 与” 运算, 并将两种情况统一, 则可使处理更加简单, 资源利用率更高。协议中提到的所有数据格式都能满足,最终确保解码完全准确。

2 . 2 编码设计的方案

在VICC收到正确的请求处理完成之后, 要响应VCD , 响应的数据要通过特殊的编码处理。 为保证控制命令高速长距离传输的稳定性, 对其进行平衡编码,采用曼彻斯特编码将消除直流分量,从而使控制系统具有良好的抗干扰性能[5]。 低速模式持续的时间和脉冲个数是高速模式的4 倍, 而且不同模式的载波对应的编码方式不同。 时钟由不同的速率模式控制,高速与低速对应的编码是一致的,不同的只是编码时间,因此低速的时钟分频系数是高速的4 倍。 根据曼切斯特编码的特点,“0”就是1 到0 的跳变,“1”就是0 到1 的跳变,1 是32 分频的时钟, 而0 在单副载波情况下是无调制的, 双副载波调制下是28 分频的时钟, 因此只要加一个选择器,对应的模式就选择对应的副载波,两种副载波调制也就完成了。 整个的编码状态转换如图3 所示。 进入场复位之后编码模块处于空闲状态,当编码使能信号置1时开始传输响应,先发送开始帧,之后传输数据,数据传输结束后发送结束帧, 最后编码使能置0 回到空闲状态。 这样确保了响应的安全可靠。

3 仿真验证

采用Verilog HDL语言编写程序并进行了测试,用ModelSim进行了功能仿真验证; 同时选用CycloneIVE系列开发板进行FPGA验证。 此处选用4 取1 的PPM编码方式,从输入的第一个字节为“02h”可以看出,响应编码是高速率传输,单幅载波模式。由两波形图可以看出,编码与解码的功能得到实现,数据完整可靠。

图4 中“i_dec_clk”是解码模块的时钟信号;“i_dec_nrst ” 是解码模块的复位信号; “i_dec_data ” 是射频输入信号;“o_trans256_sof”是接收数据为256 取1(PPM方式)的标志;“o_trans4_sof” 是接收数据为4 取1 的标志,此处是4 取1 的PPM编码,有置 “1”;“o_dec_byte”是接收完一个整字节的标志, 每个字节输出时都有置 “1”;“o_dec_byte_num ” 是接收数据的字节数, 共9 B ; “ o_dec_e-of ” 是接收数据的结束帧标志; “o_dec_command ” 是接收的数据解码之后按十六进制显示的结果。

图5 中“i_en”是编码模块的使能信号;“i_mod”是编码模式,即低位是副载波形式,高位是传输的数据速率;“i_tx_end”是响应数据,包括16 bit的CRC传输完成标志;“ i_data ” 是要编码的数据, 按位显示; “ o_rd ” 是编码完成的数据;“o_end”是编码完成的标志,包括EOF;“o_tx_en”是传输编码数据的使能信号,不包括SOF与EOF。

上一篇:探索自我下一篇:《继承法》