集成电路测试技术

2024-06-01

集成电路测试技术(精选12篇)

集成电路测试技术 第1篇

1数字集成电路测试系统的结构分析

微处理器的测试分析、半导体存储电路以及不同类型的电路等都属于数字集成电路测试系统的首要特性。通过这一系统的高效测试可以有效的将功能、交流参数以及直流参数等各个不同方面的测试推进,并借助一系列包括测试功能的机器配置、仪器和引脚电子电路等各种高新技术的配置工具进行现代高科技的集成电路测试。

1.1计算机子系统的测试

计算机中所具有的全部设备及技能都属于计算机子系统的统筹范围之内,可以将自动测试的功能以及相应的机能等高效的完成。 其中,系统控制器、主存储器、图形发生器、时钟发生器、外围配备以及供电的电源、数据有效接口等都属于计算机子系统的重要构建部分。另外,高效率的处理能力也是系统控制器的一大优势,在进行程序测试的进程中,主要借助于总线结构的测试控制系统对其进行高效率的分析处理。为提高不同测试之间引脚信息数据存储及使用的便利性,测试计算机大都和主存储器实现有效的连接。

在外围的配备中,充分考虑到不同测试环境之间的不同需求, 所以主要借助工具仍然是计算机的支持,主要的配备支持有图形终端、打印机和其它相应的拷贝配备等。其中图形终端能够有效的对测试图形的生成和顺序合理排列等进行控制管理,时钟发生器也主要受其操控,从而将集合方式和运作方式的多元化进行有效选择利用。而相关的供电电源则充分的在软件测试过程中为其提供编程方式,其极限的电流也是能够进行编程的,最大程度上维护了被测试设备的完善程度,将破损程度降到最低。

不难看出,数字电路等方面的各种类型的产品开始出现多元化、趣味化,同时这也使得客户群体对其的需求量和关注度越来越高,其机器配备方面的质量问题、性能问题等也逐渐成为客户群体所关注的热点。只有相关的数字集成电路测试系统做到达成更加精准、容量更加庞大以及灵活程度广泛、生产经营成本逐渐降低等各方面的标准要求,顺应其发展的大好形势,才会为其打通开发市场。

2数字集成电路测试方面的基本原理

在机器配备得以开发制造的各个阶段中,其有待测试的相关机器配备、工艺技术水平和测试技术水平之间可以有效的划分为众多不同的类别。功能测试、直流参数及交流参数方面的测试是测试类别中最常见的测试方式。

2.1功能测试方面

集成电路中其设计制造能否达到预期的效果是功能测试的主要目标。在输入的一端加入相应的测试图形,以刺激其信号产生, 并严格遵守电路中制定的频率走向施入到被测试的机器配备中,充分的考量比较预期效果中的图形与输出采集后的形态是否达成一致,从而判定集成电路正常与否的性能,这是功能测试环节中的关键流程走向。其中,机器配备的正常检测大都是通过图形的测试来进行初步的判断,优秀的测试图形能够提高自身故障的覆盖程度大小,并且可以将测试的周期有效的缩短,快速的检测出机器配备中出现的系列弊端。因此,矢量测试过程中的精度主要决定了测试电路中的质量问题,组合电路测试矢量的生成和时序电路测试矢量的生成等两种有效的测试方式大大便利的集成电路时序方面的测试效率。而穷举法、代数法、随机法以及蕴含图法、路径敏化法等都是组合电路的主要测试方式;电路模型的有效创建和矢量生成器与故障模拟器之间生产的测试码方式,这两种生成方式可以科学合理的测试出时序电路的生成。

2.2直流参数测试方面

机器配备中对电参数稳态状况的测试方式属于直流参数测试。 接触测试和漏电电流测试、转换电平测试等多种测试方式都被涵括在其中,都为直流参数的测试提供了各自的能力和提高了便利性。

2.3交流参数方面的测试

机器配备中晶体管状态发生有效转换的时序关系测量是交流参数测试。它为有效的周期内机器配备的状态的高效转换提供了强有力的保障。传输延迟性测试、输出上升及下降的时间测试和建立及保持时间的测试等都是交流参数测试的主要方式,包括频率测试在内。

3总结

综合上文所述,可以发现整个社会发展形势的关注焦点都放在了纯数字集成电路技术方面。大大突破了以往设计制造及测试中的传统陈旧模式,赋予其现代的高新意义,不断推动着当前世界数字集成电路发展的大好形势,做好了迎接各种艰难险阻的充分准备, 不断的改革创新电路测试的技术,为世界不同领域下的新产品的设计制造提供更优质性的保障。

摘要:本篇文章大体讲述了数字集成电路测试技术的基础组成部分,在现阶段中数字集成电路测试中高规格的基本操作原理等。针对这些测试原理对各种各样的测试系统进行不同的语言测试以及编程等,另一方面对数字集成电路方面的技术测试所迎接的现状及日后形势等进行了简要的解析。

集成电路测试技术 第2篇

2004.11 1. 以下选项中不是EDA工具(括号内为开发公司)的是

A.Star-Hspice(Avanti)

B.Star-Craft(Blizzard)C.Silicon-Ensemble(Cadence)

D.Design-Compiler(Synopsys)

2. 请写出图1所示的两种组合逻辑电路实现的功能,请问哪一种电路更好,为什么?

图1 组合逻辑电路

3. 如图2所示的动态电路中,第一级的输出直接接第二级的栅,会有什么问题,请问改进的方法(改进后实现的功能不变)?

VDDCLKCLKOUTInVDDCLKCLK图2 动态组合逻辑

4. 说明CMOS电路的Latch Up效应,请画出示意图并简要说明其产生原因。

5. 图3所示为2输入选择器。该电路由完全互补的静态CMOS构成,电源电压为VDD=5V,图中的三个电容都为0.5pF,不考虑其他电容的影响。(1)设输入信号(S,A,B)相互独立,且它们为“1”的概率均为50%,求输出节点X,Y,Z发生0→1转换的概率(P0→1)。(2)如果输入信号的频率为50MHZ,求该电路的动态功耗。

图3 选择器

6.请画出图4所示版图对应的电路图 a. 试问NMOS与PMOS的尺寸,λ=0.6μm。

b. 画出电压转移曲线,标出VOH,VOL,VM,VIH,VIL的位置并计算其值。

数字电路测试中的关键技术分析 第3篇

关键词:数字电路;测试;故障

中图分类号:TN79 文献标识码:A 文章编号:1674-7712 (2014) 04-0000-01

用来取得定量或是定性信息的基本方法就是测试。测试不仅是信息工程的源头,还是它的重要组成部分。随着如今大规模集成电路的广泛应用以及计算机网络、微电子技术以及通信技术的发展,各种先进装备系统设计还有制造都离不开测试。据资料显示,目前研制设备的总成本中,测试成本所占比重已达50%,甚至70%。能否使电子设备处于完好状态,使其维修更加准确、快捷,都与电路的测试有着紧密的关系。电路一般有模拟和数字两种,相应的可以把电路的测试分成模拟电路的测试和数字电路的测试两种。数字电路的测试基本思想是在电路输入端加上二进制测试矢量,再比较期望值和电路的实际响应,看其是否一致。

一、数字电路测试中关键技术

(一)数字电路的故障模型。模型在工程上是数学抽象与物理实体之间的桥梁,而故障模型是测试中最重要的模型,它是一系列故障或是所有可能发生的失效行为的故障的集合。故障建模需要遵循纪既要有准确性、典型性和全面性,又要具有简单和易处理性。一般建模很难同时满足以上两个相互矛盾的原则,大都采取折衷方案。数字电路中的故障种类多、数目差异大,因而数字电路系统的建模费时费力且不具有通用性。以下只介绍几种数字电路中的几种常见故障。

首先是桥接故障,通常为晶体管或门级的故障模型,一组信号间的短路用一个桥接故障来表示。短路网点的逻辑值可以是0、1或是不确定状态,取决于电路的实现技术。有反馈的桥接故障产生与组合逻辑不同的存储状态,而无反馈的桥接故障通常用固定故障测试,有很高的覆盖率,是组合逻辑。导致电路的组合延迟超过时钟周期的故障叫做延迟故障,有门延迟故障、路径延迟故障、线延迟故障、段延迟故障和传输故障几种。若将MOS晶体管视为理想的开关,则它的故障模型就是开关永久处于短路或是开路状态的固定短路和固定开路故障。固定故障是电路中较为常见的故障,最常见的是单固定故障,指的是每条线上有固定的0或1两个故障,当然也会有多种故障同时出现的情况,一个n条线的电路所有可能故障数=3^n-1。

(二)数字电路的故障仿真。故障仿真是故障诊断技术中不可或缺的重要环节,主要有四种方法,即并行故障仿真、串行故障仿真、并发故障仿真和演绎故障仿真。其中后两种故障仿真通常采用面向实践的表格驱动仿真器,而并行故障仿真一般采用编译驱动仿真器。

(三)数字电路的故障压缩。电路中所有故障的集合可以被划分成若干等价的子集,每个等价子集中的故障是相互等价的。故障压缩是从每一个等价集中选择一个故障的过程。它可以将电路中的故障总数进行压缩,使之达到一个相对较小的值,可以减少产生测试集过程中的工作量。压缩后的故障数与所有故障总数的比值就是压缩比。

(四)数字电路的可测试性度量。可测试分析具有线性复杂度和属于静态类型两个特征。信号的可观测性和可控制性称为数字电路的可测试性度量,其概念起源于自动控制理论。可观测性指观测逻辑信号状态的难度,而可控制性指的是设置特定逻辑信号为1或0的难度。

二、数字电路的测试生成方法

(一)布尔差分法。布尔差分法通过对数字电路布尔方程式进行差分运算来求得测试,可求出所给故障的全部测试矢量,获得测试集的一般表达式。主路径法是在布尔差分法的发展中具有代表性的方法,它将通路敏化的概念引入其中,使布尔差分法的效率得以提高。布尔差分法的理论价值较高,主要是因为它可以将电路描述抽象为数学表达式再进行严密的数学推导。布尔差分法的缺点在于测试复杂性较高的电路时运算量大,处理困难。

(二)D算法。相对于布尔差分法来说,D算法一般只用来测试一个或是一些测试矢量而不是全部,比较贴近实际。电路中的各节点状态用5个值(0,1,x,D, )来表示。算法步骤主要有故障激活、故障驱赶以及线相容等。D算法具有算法上的完备性,便于在计算机上实现,是目前应用最为广泛的测试生成算法之一。具有代表性的是PODEM(面向通路判定)算法,它具有穷举算法的优点,避免了许多的盲目试探,减少了D算法中判决与回溯的次数。D算法的缺点在于测试生成时的盲目试探时间占用太长,在规模较大的组合电路中太复杂、效率低。

(三)FAN算法。FAN算法是为加速测试生成而提出的,具有以下特点:头线和扇出源节点构成搜索空间;故障值分配给故障唯一确定或隐含的地方;尽可能多的在每一步中确定已唯一隐含的信号值;D边界元件唯一时,敏化通路的选择也是唯一的;知道搜索的启发性信息使用SCOAP;主导线处停止反向蕴涵,其值可以到最后再确认;扇出源的处理采用多路回退的办法。FAN算法的运算速度相对于PODEM算法来说有所提高,回溯次数少、故障覆盖率高,丰富和发展了测试生成算法的基本思想,目前具有代表性的测试性能较好的是SOCARATES算法。

三、数字电路测试的发展趋势

集成电路的设计与生产中电路测试的地位越来越重要,近年来人们不仅完善了已有的测试算法,同时还提出多种新的算法。目前数字电路测试生成发展有以下几个方向:一是对已有测试生成算法的效率进一步提高,同时研制新的测试技术和方法,如降低搜索空间、研制更加有效的搜索策略等;二是研制并行处理方法和专家系统,被测电路中可以相互独立处理的故障若能实现并行处理将会十分省时省力,测试生成若能有效结合专家经验和启发方式也会十分有益;电路与系统越来越复杂,若仍旧依照以往那种测试人员根据已经设计或是研制完毕的电路来研制测试方案的做法已实用,如今需要设计人员设计电路时充分考虑电路的可测试性,进行可测性设计。

如今电路复杂度和集成性都不断提高,这使得电路的测试困难不断加大。人们应开展可测性设计技术的研究,寻找降低集成电路制造、使用和维护成本的方法,提高故障诊断定位的效率,提高数字电路设计、生产以及测试生成的速度。

参考文献:

[1]雷振雄.关于数字电路的故障测试方法研究[J].科技致富向导,2013(14):78-79.

谈集成电路测试技术的现状及对策 第4篇

关键词:集成电路,测试技术,测试方法,测试流程,发展策略

1 集成电路测试的分类

1) 验证性测试:在大生产之前就要进行验证性测试, 以确保电路设计的正确性, 并对电路所有的功能都要进行测试, 同时在测试过程中, 还要模拟多个环境反复测试, 依次列出电路参数的规范。

2) 生产性测试:在产品设计完成后, 投入生产运行, 批量产品出来以后在常温的环境下进行生产性测试, 目的是判定产品是否合格, 通常情况下, 为了节约测试成本, 不会覆盖所有的电路功能和数据类型, 只需做通过/不通过的判别即可。

3) 老化测试:即使通过生产性测试合格的产品在实际应用中有些也会出现失效的情况, 这时就需要进行老化测试即通过一个长时间的连续或周期性的测试使不耐用的器件失效, 从而确保老化测试后器件的可靠性。

4) 成品测试:通常情况下, 企业采购来的器件在集成到系统之前, 往往还要进行成品检测, 按测试对象的不同可以分为中测和成测。中测是圆片制造的最后一道工艺, 成测则是对封装好的电路进行测试[3]。

2 集成电路测试的流程

1) 产品文件:应包含产品的详细线路、简单的功能介绍、中测和成测、老化测试的测试回路, 测试项目、测试参数的规范等等。

2) 测试仪:包括模拟测试仪和数字测试仪, 主要是用来测试各类参数。

3) 测试夹具:即安装测试回路的线路板, 分中测夹具和成测夹具。

4) 测试程序:测试工程师在熟悉产品文件、测试仪、测试回路及做好测试夹具后再进行编制和调试的程序。

5) 中测台或分选机:中测台是用来控制每一个芯片的步距, 而分选机则是用来控制成品电路的上下料, 它们都是集成电路自动测试必不可少的一部分。

6) 测试数据分析:对芯片进行测试后, 一般要求生成不良品的具体数据报告, 便于工程师对数据进行分析, 从而提出针对性的改进方案, 达到降低产品成本, 提高生产效率的目的[4]。

3 集成电路测试的发展策略

由于集成电路在我国研究发展起步较晚, 现行测试方法还不够全面, 测试水平也不够高, 相应的检测效果不尽如人意, 无法完全满足时下对集成电路测试技术的要求[5]。因此, 有必要进一步完善测试技术, 提高其检测效果, 下面针对性地提出几点推进我国集成电路测试产业发展的建议。

3.1 发展低成本测试技术

就目前形式而言, 国内IC产品主要以低档、民用消费类产品为主, 如MP3 IC、音视频处理IC、数模混合IC和电源管理IC等, 其芯片售价并不高, 太高的测试费用是很难承担的, 所以低成本的测试技术是众多企业急需的。这决定了测试系统的选择应以经济实惠、技术指标合适的机型为主[6]。

3.2 研发高端测试技术

目前, 现有的测试设备还不能测试像锁相环等模拟/混合信号器件, 所有的制造商都很难找到一种满意的自动测试设备来测试系统芯片。近几年半导体工艺发展迅速, 系统芯片 (So C) 逐渐成为集成电路设计的主流趋势, 以此同时相应的系统芯片测试技术也孕育而生, 集成电路高端测试技术的研究必须走在IC设计技术的前面[7]。

3.3 改进运行机制及业务模式

集成电路测试具有投入大、技术复杂、对技术人员要求高等特点。有必要在运行机制和业务模式上进行积极有益的探索, 合理、充分利用企业和各类社会资源, 通过适当的市场化运作, 最终实现良性循环和可持续发展。

3.4 政府加强社会公共检测平台建设

集成电路产业是国家的支柱产业之一, 政府在对产业引导、培育、扶持的过程中起着极其重要的作用。政府通过加强对公共测试服务平台的建设, 开展各种测试、失效分析、可靠性评估、测试程序的研发、测试技术研究交流、测试系统研发以及测试人员的技术培训等项目, 提供更优质、更高端的服务[8]。

4 结语

如今我国已经是世界上第二大集成电路生产国家, 但是相应的测试技术却达不到生产的要求, 其原因主要还是缺乏高水平的集成电路测试装备的设计能力, 因此这方面的人才培养要有长远考虑, 另外集成电路企业必须强化对现有测试技术的消化、吸收和创新, 同时政府要建立为集成电路设计中小企业服务的政府检测平台, 发挥其导向性的作用。

参考文献

[1]程家瑜, 王革, 龚钟明, 等.未来10年我国可能实现产业跨越式发展的重大核心技术[J].中国科技论坛, 2004 (2) :9-12.

[2]朱莉, 林其伟.超大规模集成电路测试技术[J].中国测试技术, 2006, 32 (6) :117-120.

[3]谈向萍, 俞云强.浅谈集成电路的测试技术.无锡职业技术学院学报, 2006, 5 (2) :67-70.

[4]时万春.集成电路测试技术的新进展[J].电子测量与仪器学报, 2007.

集成电路测试技术 第5篇

人教版广州市八年级物理《电流和电路》单元测试题

一.单项选择题:(每题4分,共24分)

1.把洗衣机的.三脚插头插入三孔插座中时,与洗衣机外壳相连接的应该是(    )

A.大地     B.火线   C.零线   D.保险丝

2.当我们发现有人触电时,以下救护方法中,不正确的是(    )

A. 尽快断开开关,切断电源・    B.用干燥木棍挑开火线

C.立即用手把触电的人拉开・     D.用有橡胶把手的钢丝钳剪断火线

3.如图1,在A、B两个金属夹之间分别连入下列物质。闭合开关后,可以使小灯泡发光的是(    )

电路测试中干扰信号检测方法研究 第6篇

关键词:电路测试 干扰信号 检测

中图分类号:TN912 文献标识码:A 文章编号:1674-098X(2016)02(b)-0028-02

在电路测试中,电流,电压等信号能够准确反映电路的运行情况,这些信号包含了进行电路故障诊断的重要信息,进行准确故障诊断的前提是获得没有干扰信号的测试信号[1]。但是在实际的电路测试中,测得的信号中往往包含大量的干扰信号,这些干扰信号主要来源于系统内的干扰信号和系统外的干扰信号,干扰信号的存在会对电路故障的诊断带来严重干扰,因此,如何对电路测试中干扰信号进行准确检测,已经成为当前电路测试领域中一个研究热点[2]。为了提高电路测试中干扰信号检测的准确性,提出一种基于谐波小波-支持向量机的电路测试中干扰信号测试方法。

1 利用谐波小波对检测信号进行分离

谐波小波函数的本质是一个带通滤波器,它在频域内的结构形式为盒型形式,在时域内的结构形式为复数函数的小波函数。谐波小波函数频域的表达式为:,由谐波小波函数的表达式可知,谐

波小波函数的频域有着典型的盒型结构,因此,利用该公式能够得到电路测试中在窄带内的微弱故障信号。利用Fourier方法对谐波小波函数进行逆变换,能够得到谐波小波函数的时域表达式:

。利用谐波小波函数的时域表达式能够对检测信号进行多辨析分析,从而实现检测信号中干扰信号与微弱故障信号的准确分离。

由于基于FFT快速算法,因此可以利用数学运算的方式就可以实现电路测试中干扰信号与微弱故障信号的快速分离,具有运算简单、分离精度高等特点。

2 利用支持向量机对干扰信号进行准确检测

2.1 构建谐波小波-支持向量机核函数

支持向量机(简称SVM)是一种监督式的学习方法,主要用于分类识别与回归分析。支持向量机的重要特征是逼近理论问题与学习方法相对独立。在支持向量机中,核函数是重要的构成部分,它对支持向量机的分类方面起到关键的作用,要选择合适的核函数,就必须首选考虑需要解决的问题的先验知识。在电路测试中干扰信号的检测中,核函数能够准确反映干扰信号分类识别的先验知识,对干扰信号的分类识别具有重要的优化作用。相关研究表明,支持向量机的核函数满足的条件是必须满足Mercer条件。Mercer条件又被成为平移不变核条件,它是成为支持向量机核函数的充分必要条件,即:Fourier变换为非负。利用谐波小波构建支持向量机核函数的具体方法如下所述。

设置电路测试中测试信号的小波函数为,尺度因子为,平移因子为,令,则符合Mercer条件内积核形式的谐波小波核函数的表达形式为,符合平移不变核函数的谐波小波核函数的表达形式为。上述两种核函数都可以作为用于干扰信号分类识别的支持向量机的核函数。

根据上面阐述的方法,能够构建谐波小波-支持向量机核函数,从而为干扰信号的分类检测提供了准确的依据。

2.2 电路测试中干扰信号的准确检测

在电路测试中干扰信号的检测中,若得到的干扰信号的样本集为,,,假设在m个尺度上m的个谐波小波支持向量机对干扰信号进行检测,由支持向量机的有关原理能够得到谐波小波核函数支持向量机在尺度对干扰信号的样本进行检测的数学模型,其表达形式为,而在尺度上对干扰信号样本分量进行检测的模型的表达形式为,以此类推,在尺度对干扰信号样本分量的检测模型的表达形式为,其中,分别为尺度上核函数生成的干扰信号分量特征的映射,即。最后得到的谐波小波核函数的支持向量机的检测模型为,其中。

在支持向量机中,代价函数-为不敏感函数,由于支持向量机的检测模型能够推导出干扰信号分类识别的优化问题:,该优化问题的约束条件为:

其中,C是惩罚因子;,,,,为松弛因子;,分别为尺度上的不敏感函数的参数。

由干扰信号分类识别的目标函数约束条件构建Lagrange函数,对该函数分别利用进行求导,并令其为零,能够得到干扰信号检测优化问题的对偶形式:,该式需要满足的约束条件为:,并且,,其中,为Lagrange乘子。

这样,就能得到个尺度上干扰信号的检测模型,其中,的计算公式为。

根据上面阐述的方法,得到的基于谐波小波核函数支持向量机的干扰信号检测的具体过程如下所述:(1)选择合适的干扰信号分解的尺度数目m;(2)求解相关系数;(3)建立支持向量检测模型;(3)获得准确的干扰信号识别分类的结果。

3 仿真实验结果分析

为了验证该文方法的有效性,利用仿真软件matlab7.4进行了仿真实验。利用传统方法进行了对比实验。在电路测试中故障信号的检测中,用幅值A=0.05,频率f=0.01 Hz,占空比的周期信号模拟电路测试中的干扰信号。相同干扰信号的情况下,得到的仿真结果为:相对于传统方法,利用该文方法得到的故障信号的信噪比平均提升了3.5 dB,早期故障检测的准确率提高了13%,达到了96.3%,实验结果表明了该文方法具有明显的优越性。

4 结语

针对传统方法存在的缺陷,提出一种基于谐波小波-支持向量机的电路测试中干扰信号测试方法。根据早期电路故障信号频率分布的特点,利用小波滤波器将检测信号在不同尺度上分解成不同的分量,实现故障信号与干扰信号的有效分离;利用支持向量机对分离出来的干扰信号进行自动分类与识别,最终实现了电路测试中干扰信号的准确检测。

参考文献

[1]赵清.数字电路中非正常跳变信号的检测方法研究[J].科技通报,2015(9):224-227.

数字电子电路测试技术 第7篇

1 IC系统简介

为了保证数字产品的合格率, IC生产出来以后要进行测试。IC调试贯穿在IC设计、生产和应用的全过程, 被认为是IC产业4个分支 (设计、制造、封装与测试) 中一个极为重要的组成部分。随着超大规模集成电路的出现, 芯片中晶体管的数目已变得非常巨大, 数字电子电路的测试变得更加困难, IC的测试开销:测试时间和测试费用等不断增大。有人预计到2012年, IC测试所需费用在IC设计、制造、封装和测试的总费用中将占到80%-90%的比例。因此, 研究安全可靠的测试方法具有重大的理论意义和实用价值。集成电路按其功能、结构的不同, 可以分为模拟集成电路和数字集成电路两大类。其中数字电子电路是传递和处理离散值信号的电路。针对数字系统的测试, 主要是验证数字系统的功能、逻辑关系和时序关系等。本学位论文主要研究数字电路的测试。

2数字电子电路测试

2.1数字电子电路测试的目的与作用

对于某一个系统的设计或者是VLSI设备设计和加工的过程中, 每一个环节都有可能会存在着一定的问题, 这样也就使得产品生产出来无法满足其应用的需要, 对于生产的厂商而言, 测试主要是为了可以尽早充分的了解导致产品出现问题的因素和最终的原因, 同时对产品进行及时的调整和改进, 这样就防止了一些质量不达标的商品到达商家的手中, 企业自身的信誉也得到了保证, 如果在生产的过程中, 质量不达标的产品数量增多, 这样就会使得商家的成本投入大大的增加, 因此测试的质量直接影响到了企业自身的销售, 此外对实现企业自身的经济效益也有着十分积极的作用, 所以用户在应用的过程中, 必须要保证系统在运行的过程中可以正常平稳的运行, 这就需要用户定期的对系统进行维修和养护, 因为系统在运行的过程中, 一个很小的故障, 都有可能会对系统运行的效果产生非常重大的影响, 所以在系统运行的过程中, 必须要对其进行全面的测试。

2.2测试类型

2.2.1设计验证。如果一个新的产品生产出来, 为了对产品在一定环境和工作条件下能不能达到预期的功能和运行效果, 同时还要确定其在运行的过程中是否会出现相关指标不满足规定的情况, 需要对系统进行全面的测试, 按照测试的相关数据对产品的实际情况进行全面的评估, 此外还要在这一过程中按照产品产生问题的根本原因采取有效的措施对其进行适当的调整和改进, 在产品的性能得到了全面的改进之后, 就可以对其采取大批量的生产方式。

2.2.2生产测试。生产测试通常就是指产品在全面投产之后在生产线上所进行的测试, 这样做最重要的一个原因就是要保证出厂产品自身的质量可以充分的满足其相应的要求, 按照测试的实际结果筛选出质量和性能不符合要求的商品。在这一过程中还要通过严格的故障诊断分析出出现故障的根本原因, 这样才能更加有针对性的去提升生产的工艺和流程, 这样一来也就使得产品自身的性能得到了非常显著的提升。

2.2.3可靠性测试。虽然在生产的过程中通过了生产测试, 产品自身还是可能会在运行了几个小时或者是几十个小时之后出现一些故障或者是运行异常现象, 为了更好的预测产品自身的可靠性, 估算产品的使用寿命, 需要对IC进行严格的可靠性测试。可靠性测试在应用的过程中可以很好的提升系统使用的是假案长度, 提升供电电压的数值, 运行环境的温度也在这一过程中得到了非常显著的提升, 这样就可以将不合格的产品挑选出来, 这样也就有效的降低了返修率。

2.2.4成品检测。在将原件安装到系统内部之前, 用户或者是系统的制造商都要对产品进行成品检测, 这样也就可以对器件进行全面的检查, 保证其不存在缺陷之后, 才能将其应用到系统建设当中, 不然就会使得后期的检修成本大大的提升, 如果我们按照工作条件和工作方式对其进行划分, 测试可以分成两种, 一种是在线测试, 一种是离线测试。在IC系统运行的过程中测试就被称作是在线测试。而非正常工作状态之下使用专业的测试仪对其进行全面测试的过程, 就叫做离线测试。

2.3数字电子电路测试中的挑战

缺陷 (defect) —是指IC芯片的硬件实现与期望的设计之间存在的非故意差别。常见的缺陷有:工艺缺陷;材料缺陷 (晶体不完整、表面杂质等) ;寿命缺陷 (电解质朋演、电迁移等) ;封装缺陷 (触点退化、密封泄露等) 。

故障 (fault) —是缺陷抽象的函数表示。错误 (error) —是由存在缺陷的系统产生的与预期不一致的输出信号。失效 (failure) —是指电路和系统偏离了正常的行为进入了一个错误的状态。

2.4故障类型

2.4.1固定型故障。固定型故障是近几十年来最为成功且应用最为广泛的一种逻辑故障模型。固定型故障是指电路内部信号线的逻辑状态永远保持不变, 即固定在逻辑0或逻辑1, 因此它包括固定型0故障 (stuck-at-0) 和固定型1故障 (stuck-at-1) 两种。固定型故障可以反映绝大部分的制造缺陷, 能够检测所有固定型故障的测试只能够检测90%以上的物理故障。

2.4.2晶体管故障。晶体管故障属于开关级故障模型。如果晶体管由于存在故障而永远不能导通, 就认为是固定开路。相反, 如果晶体管永远是导通的, 就认为是固定短路。一个CMOS逻辑门由多个晶体管构成, 因此固定型故障模型通常不能精确地反映CMOS逻辑电路中晶体管固定开路和固定短路故障的行为。

3结论

随着超大规模集成电路的出现, 芯片中晶体管的数目已变得非常巨大, 数字电子电路设计复杂度的提高, 数字电子电路电路的测试变得更加困难, IC的测试开销:测试时间和测试费用等不断增大。IC测试所需费用在IC设计、制造、封装和测试的总费用中将占到极大的比例。因此, 研究安全可靠的测试方法可以提高整个产品的设计进步, 提高产品质量。提高公司信誉等诸多方面具有重大的理论意义和实用价值。

参考文献

[1]任艳焱.浅谈数字电子钟的设计方法[J].赤峰学院学报 (自然科学版) , 2013 (16) .

数字电路板自动测试技术浅析 第8篇

1 目前主要的数字电路板的测试方法

最早的专用自动测试设备 (ATE) 由信号发生器、示波器、逻辑分析仪等各种测试仪器的组合而成, 模块化仪器的出现使其实现了自动测试。当前主流的自动测试与诊断技术采用的测试设备是在此基础上发展起来的, 能够通过强大的测试软件来完成信号的采集和处理, 自动完成电路板的性能检测、器件参数的分析和调整, 最终实现电路故障的定位。

1.1 利用实板仿真开发测试程序

利用实板仿真技术开发测试程序的ATE采用VXI/PXI总线, 系统对功能完好的电路板加载人工编写的测试激励, 并录取正确响应。通过探笔系统采集相应的电路节点正确信息, 并把这些信息存入测试比对数据库中, 供以后测试诊断和测试故障定位时使用。然而, 这种测试方法只具有对数字电路的静态测试能力, 并且对开发人员要求高, 开发周期长, 测试程序的性能 (故障检测率和故障隔离率) 无法得到确保, 同时也缺少对已开发测试程序的验证和评估手段, 大大影响了测试程序的开发效率和质量。

1.2 通过软件仿真开发测试程序

通过软件仿真开发测试程序只需电路网络表, 仿真软件就可以自动生成测试向量, 辅助引导TPS (Test Program Set) 编程。这种方法能够自动生成测试结果、故障字典和故障检测率报告;采用探笔探测与故障字典诊断结果相结合的方法进行故障诊断;输出数据文件符合国际标准IEEE-STD-1445数字交换格式DTIF (Digital Test Interchange Format) 。

软件仿真的优点是测试向量生成速度快, 故障覆盖率高。例如目前广泛使用的LASAR (Logic Automatic Simulate and Response, 逻辑自动激励和响应) 软件。

2 LASAR仿真软件介绍

2.1 LASAR仿真软件概述

LASAR是美国泰瑞达公司开发的一种仿真软件, 用来做数字电路的仿真和测试程序开发, 能够帮助技术人员开发出优质的测试激励, 并对测试激励做出评估, 以辅助技术人员进一步优化测试激励。它支持动态和静态的功能测试设备, 仿真结果通过后处理, 生成ATE能够识别的格式, 由ATE加载对电路板进行测试。

2.2 LASAR仿真软件特点

LASAR之所以成为数字测试程序开发的美国国防工业标准, 源于其强大的故障仿真、辅助分析、环境建模能力和客观的激励评价能力。其标准的数据交换格式能够在各种ATE测试设备之间移植, 具有很好的兼容性。

测试人员要利用LASAR软件进行仿真, 首先需要分析被测电路板的原理, 在此基础上, 开发相应的测试激励, 结合网表文件, 就能自动生成正确响应, 同时给出测试激励能够达到的故障覆盖率、隔离率等评判测试激励质量的指标, 以期得到更加完善的测试激励。LASAR在解决逻辑关系复杂、功能分析困难和含有复杂数字逻辑器件的电路板自动测试程序开发方面具有很大的优势, 因此已被全球国防电子与航空工程普遍采用。

应用LASAR软件仿真技术生成电路板自动测试代码, 必须具备电路板网络表和电路板上所有数字逻辑器件的模型。含有FPGA器件的电路板, 应用LASAR软件, 难点是构造器件模型, 特别是对FPGA等复杂可编程逻辑器件的建模。而IEEE1076.4的VITAL标准与LASAR仿真软件的结合, 能够方便地解决这个问题, 后面的章节将会详细介绍。

2.3 LASAR仿真软件工作原理

LASAR仿真软件的工作原理是系统对功能完好的电路板加载人工编写的测试激励, 从输入端施加测试激励, 通过探笔系统采集相应的电路节点正确信息, 并把这些信息存入测试比对数据库中, 供以后测试诊断和测试故障定位时使用。对故障电路板, 给其施加与同样的测试激励, 将其输出的响应与测试对比数据库中的正确结果进行比较。如果结果相同, 则被测电路板工作正常;反之, 则被测电路板存在故障。如果想具体定位故障节点, 需要进一步利用故障字典进行故障诊断, 直至定位故障点。

LASAR仿真软件测试简单的数字电路非常有优势, 但是, 随着芯片设计的规模越来越大, 结构越来越复杂, 器件建模相应增加了难度, 完全依靠LASAR仿真软件无法完成复杂逻辑器件的测试问题。

3 器件建模

3.1 基于LASAR的复杂逻辑器件建模

LASAR仿真软件建模思想是通过将器件的描述文件编译为器件模型并加入数据库来创建器件的软件模型。

为了实现电路板的仿真和故障诊断, 电路板上的每一个元器件都必须能在器件库里找到其模型。该器件模型可能是泰瑞达公司在LASAR软件包中的器件库里提供了的, 也可能需要用户自己建立, 再加入LASAR器件库以备仿真时使用。

在LASAR环境下, 用户可以通过三种方式来建立器件模型:

1) 直接利用用系统自带的编辑器创建器件的结构模型, 以逻辑门为基础来描述电路, 前提是技术人员要对器件内部门级结构十分清楚。

2) 对于没有可靠的结构描述信息的复杂器件, 如果其逻辑功能明确, 可以利用LASAR提供的行为模型语言LABEL (LASAR Software Behavioral Language) 对器件进行功能行为级的描述。

3) 对复杂可编程逻辑器件, 可以利用VITAL (VHDL Initiative Toward ASIC Language) 建模的方法, 将此类器件开发软件的设计输出, 再转化为LASAR能够识别的器件模型。

上述三种建模方法, 最终都要将自建的器件模型存入器件库 (local library) 中, 电路模型编译才能通过。

3.2 基于VITAL语言的FPGA功能建模

复杂逻辑器件的开发形式灵活多样, 可以用图形化编辑输入、硬件描述语言输入、波形输入等多种形式, 但所构建器件功能的描述难以应用到仿真软件或移植到ATE上去。通过VITAL模型方法, 能够将这些设计方式综合到一起, 构建能够被仿真软件识别并应用的器件模型, 从而将复杂逻辑器件的功能描述输入到仿真软件中。

VITAL标准的应用, 使设计者能够用自己熟悉的方法描述FPGA等复杂可编程逻辑器件的功能, 然后将描述文件以VITAL标准导入到LASAR中, 构建复杂器件的LASAR仿真模型, 对含有这个器件的电路板进行故障仿真, 仿真结果经过后处理, 应用到ATE上, 从而实现对这类含有复杂逻辑器件电路板的测试诊断。

4 含FPGA的数字电路板测试方案

要采用VITAL建模方法实现含复杂逻辑器件电路板的功能测试, 首先要在Muxplus开发环境中生成VITAL模型, 将模型导入LASAR, 此时可以将其作为LASAR库里的普通逻辑器件进行编译, 然后进行电路板的好板仿真和故障仿真, 生成后缀为tap的后处理文件, 即生成了测试向量。此时ATE调用此向量即可完成电路板的功能测试。被测电路故障覆盖率可以达到100%。该方法利用现有成熟的测试资源, 能够实现含复杂可编程逻辑器件电路板的测试与故障诊断。

解决了FPGA器件建模问题, 就能将其融合到整个电路中, 实现电路的完整功能测试和故障诊断。对一些设计功能不明确的FPGA器件, 可以根据其在电路中的用途来重新构造功能进行建模, 最终完成测试。

5 结论

本文对数字电路板常用的测试方法进行深入研究的基础上, 根据测试诊断工作中的需求, 从工程应用的角度, 综合应用ATE技术、VITAL标准和LASAR仿真技术, 提出一种通用的含复杂逻辑器件数字电路板的自动测试思路, 能够达到较高的故障覆盖率和测试效率。该方法能够提高故障覆盖率和测试效率, 不仅能够实现快速故障定位与维修, 还能节省维修成本, 缩短维修周期, 极大地提高部队战斗力。

摘要:本文在介绍简单数字电路板主要测试方法的基础上, 详细描述了用LASAR仿真软件进行含复杂可编程逻辑器件数字电路板的自动测试程序开发方法。实验证明该技术能够开发大部分数字电路板的测试程序, 并产生较高的故障覆盖率、隔离率。对电路板维修来讲, 具有重要的意义。

关键词:软件仿真,LASAR,VITAL,FPGA

参考文献

[1]David Rolincekc, Next Generation Function Test Program Development System, AUTOTESTCON2000.

[2]陈岩申, 王新洲, 张波.基于FPGA的电路板自动测试技术研究[J].计算机测量与控制, 2010, 07:1500-1502.

数字电路测试中的关键技术探讨 第9篇

关键词:数字电路,故障,测试,诊断

测试是电子电路的一个重要过程, 测试的目的是检测出电路中各种各样的出现的情况, 因此在整个数字电路中占据着相当大的比重。数字电路检测的主要方向是在电路的输入端加上二进制矢量, 最终比较电路的输出与预计值。如果相差较小并在允许的范围内, 便认为数字电路工作正常, 然而如果二者相差甚远, 便认为数字电路中出现了故障, 需要诊断出故障出现的位置, 这样有利于修复数字电路中的问题。

1 数字电路故障的主要特点

数字电路不同于模拟电路, 在实践分析及输入、输出信号处理中主要是探讨其逻辑关系, 模拟电路的分析方法不能直接套用。例如, 小信号模型分析法可以在数字电路中得以应用但是模拟电路就有限制。数字电路中的元件多考虑开关的闭合状态, 分析过程也是引用逻辑判断, 通过对波形图、逻辑功能表进行对照来完成电路功能表示。连续的模拟信号在离散之后就是数字信号, 因此数字电路的分析可以理解为是对离散信号的处理。门电路是数字电路的基本组成单元。门电路即用以实现基本逻辑运算或者其他复合逻辑运算的小单元电路。简单而言, 门电路自身就是一个电路, 主要实现开关功能。实际设计中较为多用的门电路有与门、或门、非门、与非门、或非门、与或非门、异或和同或门等几种, 这些门电路主要都是由半导体二极管、半导体三极管、CMOS等具有开关特性的元件及电阻、二极管构成, 这里说的开关特性是在不同条件下, 元器件会跳转于不同状态, 如二极管的正向导通反向截至, 三极管、CMOS的导通、截止、饱和三状态。通过加入的方波之间进行的逻辑计算, 从而得出数字电路的结果。一般结果是通过示波器显示出。了解了数字电路的基础原理, 那么电路的故障分析也就可以了解。用示波器观察输入图像和输出图像以及他们的相位关系。运用动态测试的方法缩小故障的查找范围, 然而故障的种类繁多并且引起的现象也是各式各样的。一般辅助仪器是示波器和逻辑分析仪。

2 数字电路故障检测技术

数字电路的应用需要经过整体功能的测试, 而依据设计进行安装之后才可以进行测试。事实上, 数字电路的测试不仅要在设计完成后开展, 在设计构思之初就要得到关键考虑。数字电路故障检测的目标是发现设计安装好的数字电路中隐藏或表现出的故障和问题。测试中的静态测试, 即功能测试主要完成的是对电路逻辑功能的测试。测试中的动态测试主要实现器件电气特性或时间特性的测试。故障定位主要是在进行电路故障检测的同时将发生故障的位置进行确定。在对电路诊断故障的时候, 根据故障的特征以此判断故障发生的范围, 这一步是相当重要的。检测这一步主要用的仪器是逻辑探头, 将逻辑探头连接到信号电路上进行检测。有部分的探头上存在逻辑存储开关, 便能够将系统脉冲信号的各项输出进行记录。通过观察对应的显示屏, 即可找出电路中不正常的板块。还有一个关键的方法是通路敏化, 根据逻辑差异, 判断故障点。竞争与冒险的解决方法有增加选通信号、增加冗余电路、输出端并联电容器等。

3 数字电路故障的诊断

有时我们经过对硬件设计和软件设计, 对电子电路进行检测时, 不仅可以检测到故障, 还能够定位到元件级。对数字电路建立故障模型, 分为桥接故障、延迟故障、固定故障等, 将故障归为其中一类, 这也是缩短故障检测的一种方法。运用故障仿真分析检测电路, 使得检测人员了解全面的电路特性。为了减少测试过程中的工作量, 将电路故障压缩到一个相对小值所有的故障分成若干个子集。从等价的子集中选择一个故障的过程成为故障压缩。数字电路的输入和输出有低电平跟高电平两种状态。利用各种显示仪器一般先进行动态测试, 缩小查找故障的范围, 然后做静态测试, 这样就可以具体到哪个元器件上。在数字电路中, 不管电路复杂还是简单, 都可以找到它的逻辑函数, 或者求出它的逻辑关系式, 通过逻辑函数我们可以改变电路结构而不改变电路功能, 可以说电路可以改变, 逻辑函数也是可以改变的, 但是电路的最终实现功能是不会变的。故对于数字电路, 只要我们知道输入信号的信号值的集合, 通过逻辑函数得到所对应的输出值, 在通过对电路的仿真, 就可以知道电路是否存在故障了。

如果上述的一个或者几个逻辑门电路坏掉了, 便可以运用上面所说的办法进行检测, 因此便会集中在一小部分, 然后再检测这一小部分, 最终找到出故障的逻辑门电路。有时是多个逻辑电路发生故障, 显示出正确的结果, 这是便要检验节点之间的输入与输出之间的关系。

4分析结果

本文讲述了对数字电路故障的诊断, 从简单的逻辑门电路检测, 到组合电路。检测过程中不但借用示波器、逻辑分析仪这些仪器, 还形成了对故障的分类、整理、解决的方法。如今数字电路广泛应用于各类机电产品中, 因此加强对数字电路故障检测的能力有利于提升数字电路的应用范围, 为以后机电产品中大规模使用数字电路奠定了基础。

参考文献

[1]刘珊珊, 康锡娥.数字电路测试程序设计[J].电子与分装, 2013 (6) :29-30.

[2]雷振熊.关于数字电路的故障测试方法研究[J].科技致富向导, 2013 (14) :26-27.

集成电路测试技术 第10篇

浏览器- 服务器 (Browser/Server) 结构, 简称B/S结构。客户端只需要安装浏览器, 浏览器通过Web服务器与数据库进行交互, 可以方便的在不同平台下工作;服务器端采用高性能计算机, 安装大型数据库。

逻辑测试用例 (Logic Test Case) , 简称为LTC。没有具体的输入数据和预期结果的测试用例。实际值没有定义或是可变的, 而用逻辑运算符来代替, 即抽象出具有相同测试过程的测试用例框架。

具体测试用例 (Concrete Test Case) , 简称为CTC。具有具体的输入数据和预期结果的测试用例。CTC中所使用的逻辑运算符, 被替换为对应的实际值。

1 当前汽车电子电器测试面临的挑战

随着汽车的智能化不断发展, 对汽车电子电器系统的稳定性、准确性和实时性提出了更高的要求, 同时给系统集成测试活动带来了新的挑战, 主要表现在:

(1) 综合化的测试数据管理平台需求显著。丰富的车型配置和不断增加的电控单元, 带来了包括测试需求、测试用例和测试报告等在内的海量测试数据管理挑战, 同时还需要保证测试数据的完整性和安全性;

(2) 分层测试一致性问题表现突出。完整的汽车电子电器系统测试, 需要多个团队分工协助完成, 包括零件级、子系统级直至整车级的测试, 而测试用例开发、测试执行、测试报告生成等工作的一致性需要重点保证, 从而确保相关的整车设计需求正确并合理地实施;

(3) 测试效率亟待提升。开发阶段大量的回归测试工作给测试效率带来了巨大挑战, 回归测试范围确定、测试计划订制和测试执行等工作频繁发生, 实现自动化测试是解决此问题的主要方案。而为了及时评估产品质量, 动态跟踪问题状态、以及多维度的数据挖掘等测试统计工作, 需要自动且实时地呈现统计结果。

2 电气系统集成测试管理系统研究

为了应对上述的主要挑战, 传统的粗放式测试管理难以应对, 综合化、自动化和弹性化的集成测试管理系统应运而生。图1 所示为基于自动化测试的集成测试管理系统拓扑图, 此系统由测试管理系统和测试执行系统两大部分组成。测试管理系统主要功能包括测试规范管理、测试报告管理、测试数据后处理和备份;测试执行系统主要功能包括测试执行序列开发、测试计划订制、测试执行、生成自动化测试报告。

2.1 测试管理系统

测试管理平台基于B / S结构开发, 工程师打开计算机终端, 通过浏览器访问连接在以太网的服务器, 在服务器的测试管理软件上进行工作。在此开放的平台上, 工程师们进行并行开发工作, 根据设计需求文档开发测试用例, 测试用例通过评审和审核后, 被下载至测试执行上位机;同时测试执行上位机将自动测试产生的测试数据和报告传回Web服务器, 实现需求验证状态的及时反馈。

2.2 测试用例管理

测试用例是集成测试执行以及结果判断的依据, 测试用例开发通常遵循自顶向下的方法, 由设计需求进行分析, 开发如表1 所示的各个电气系统的集成测试用例。

在测试管理平台中, 首先将各个电气系统的测试用例, 纳入测试数据库中进行管理, 按层级结构细分, 并保留测试用例的所有有用的信息, 然后进行规范化分析, 形成标准的LTC及CTC结构。

在规范化的过程中, 同时将变量及取值进行统一管理, 创建适用于项目需求的数据字典。通过规范化处理, 可有效改善用例风格不统一、变量命名有差异等现象, 保证测试工作产品的一致性。

由于设计需求变更, 需要对测试用例的进行配置管理。当需要修改测试用例时, 则可以按照流程进行变更。直至所有的设计需求阶段性冻结, 完整的测试用例库则被建立。

2.3 测试报告管理

当有新的车型测试项目时, 可在现有测试数据库的基础上, 分析各系统功能变更情况, 并根据测试用例变更流程, 快速生成新车型的测试用例, 同时完善现有测试数据库。

项目测试用例被下载至测试执行上位机, 通过HIL仿真系统进行自动化测试, 自动生成的测试报告又被上传到测试数据库中进行集中管理。测试报告的格式和深度, 根据用户的需要可以进行客制化管理, 同时测试管理系统建立其与已存储测试案例的链接, 以便跟踪管理。

测试报告客制化丰富友好。按照测试执行环境分类, 测试报告可分为实车测试报告和台架测试报告;按照测试自动化与否分类, 又可分为手动测试报告和自动测试报告;按照测试类型分类, 可分为功能测试报告、性能测试报告和误用滥用测试报告等;另外还可按照各个子系统划分订制报告等。

测试管理系统可以自动跟踪测试状态和结果, 通过智能数据收集与分析, 生成多维度的度量图表, 用于评估及预测被测系统的开发质量。度量数据包括:不同类型的测试用例数量统计、测试用例执行状态统计、测试不通过项统计。对于测试不通过项, 可以进行动态跟踪、类型分析和原因分析, 减少问题的定位和解决时间, 大幅提升测试效率。

3 结束语

为了保证汽车开发质量以及加快汽车开发进度, 当前各大OEM厂商都更加重视汽车电子电器系统测试工作, 加强建设测试管理系统, 并逐步推广测试的自动化。实施平台化的集成测试管理策略, 有利于将完整的测试过程纳入整车电子电器系统开发管理, 强化开发过程的管控能力, 还能促使测试数据和知识积累, 形成测试的大数据库, 同时可以有效降低测试工作负荷, 提高产品的交付质量。

集成测试管理的平台化, 通常需要与电气架构和子系统的平台化、模块化、以及通用化紧密配合, 才能发挥更大的功效。目前, 电气系统集成测试管理系统已建立完成, 开始在实际的项目工程中发挥重要作用。随着电控系统的日益增多, 以及汽车自动化程度的不断提高, 在测试管理方面仍需要更多的经验积累和理论研究, 以期持续改进。

摘要:本文针对当前汽车电子电器系统集成测试过程中面临的挑战, 通过对当前系统集成测试管理的特点进行了分析, 研究了一种综合化、自动化和弹性化的集成测试管理系统, 介绍了整车电气系统集成测试管理系统的实现方法, 旨在为汽车电子电器系统集成测试的持续优化提供一种可供选择的方案。

关键词:汽车电气系统,自动化测试,集成测试管理

参考文献

[1]William E.Lewis, David Dobbs, Gunasekaran Veerapillai.陈绍英等译.软件测试与持续质量改进 (第3版) [M].北京:人民邮电出版社, 2011 (07) .

[2]中国软件测试认证委员会.软件测试专业术语中英文对照表 (V2.0) [S].www.cstqb.cn, 2007 (12) .

集成电路测试技术 第11篇

【关键词】STC89C52;压力传感器;A/D转换器;桥梁荷载力

1.引言

国际上许多国家,在货物公路运输过程中,普遍存在超载现象。超限的车辆会造成道路损坏严重,也造成交通事故频频发生。为了实现对桥梁的实时检测,有必要依靠嵌入式技术发展成果应用到桥梁建造上,来彻底杜绝道路严重损坏及交通事故的频繁发生。设计基于单片机的桥梁荷载力测试实验模型电路,利用安装在桥梁下面的应变传感器测量车辆经过桥面引起的桥梁应变张力,通过积分运算消除车辆振动对计算结果的影响,得到桥梁的荷载值。理论和试验室结果证明该方法可以较准确的进行高速动态超重车辆的检测。由于利用该方法的动态称重测量系统不要进行地面开挖,建造成本较低,具有很强的可移动性,能实现24小时不间断检测,具有很好的实用前景。

2.理论推导

(1)桥梁荷载测试装置的工作原理

当被称物体放置在模拟桥梁上时,其重量便通过桥墩传递到压力传感器,传感器随之产生力-电效应,将物体的重量转换成与被称物体重量成一定函数关系(一般成正比关系)的电信号(电压或电流等)。此信号由放大电路进行放大、经滤波后再由模/数(A/D)器进行转换,数字信号再送到微处器的CPU处理,CPU不断扫描键盘和各种功能开关,根据键盘输入内容和各种功能开关的状态进行必要的判断、分析、由仪表的软件来控制各种运算。运算结果送到内存贮器,需要显示时,CPU发出指令,从内存贮器中读出送到显示器显示。

(2)荷载测量方法

经过实际调查,本设计方案将采用简支梁作为桥梁模型。车辆通过桥面时,简化为间距为Ls的两个点力以速度c匀速通过桥面,F表示前轴,R表示后轴,如图1所示。

此处: 是桥上x点处在t时刻的桥梁位移;ρ是单位桥梁密度;C是粘性衰减系数;E桥梁材料的杨氏系数;I是桥梁横截面的惯性矩;L是桥梁的长度; 代表车辆通过时前后轴对桥面产生的压力;c是车辆通过桥面的速度; 是单位冲激函数。

基于模型假设,动态位移, 可以被描述为:

此处:i是模态数, 是第i模态形状函数; 是第 i 模态的幅值函数。把式(2)代入式(1):

对方程(3)左右两边都乘以模态形状函数 π,并 在区间[0,L]上对方程中变量 x 进行积分,得:

(4)

此处: 是第 n 模态的频率; 是第n模态的衰减比率;Mn是第n模态的模态质量。基于桥梁假设,桥梁的模型参数可以通过下面式子计算:

式中:S——传感器及其测量电路的灵敏度(即被测量X转换成电压U的转换系数)

K——放大器的放大倍数

——A/D转换器满量程输入电压

——A/D转换器满量程输出数字

而被测量X总是以其测量数字N和测量单位x1表示

就可以使A/D转换结果D与被测量x的数值N相等,即D=N,在这种情况下将A/D转换结果作为被测量的数值传送到显示器显示出来。

3.电路设计

本系统由、数据采集部分、声光报警部分、数据显示部分、键盘部分和电源等部分,其中,控制器部分采用STC89C52实现,数据采集部分由压力传感器、信号放大电路、A/D转换器构成,系统设计原理如图2示。

(1)数据采集部分电路设计

数据采集部分电路由传感器、信号放大电路和A/D转换器组成。A/D转换器采用24位HX711芯片。HX711与单片机的接口电路接线图如图3示。

(2)显示电路与STC89C52单片机接口电路设计

显示电路采用LCD12864。LCD12864与单片机的接口电路设计如图4示。

4.软件设计

本设计采用C语言编程,编译环境为keil UV4。根据系统的控制任务,软件设计主要由主程序、初始化程序、显示子程序、数据采集子程序和延时程序等组成。

在芯片上电后,初始化程序将单片机中程序存储器单元清零,P3.0引脚置成低电平,防止错误报警。主程序设计流程图如图5所示。

5.结论

电路板自动测试中的防差错技术研究 第12篇

防错 (poak-yoke) 概念是由日本在20世纪60年代提出的, 本义是“防止错误”的意思。防错的目的是在产品的设计和生产过程中尽可能早地发现和改正错误, 杜绝产品缺陷的产生[1]。防错设计是指在产品设计过程中充分应用防错思想的设计方法, 防止制造不合格品而进行的设计和开发。目前在国际汽车行业常用的QS 9000标准和ISO/TS 16949技术规范中, 都强调了必须采用防错方法, 实质上就是指在设计开发阶段运用各种技术和方法, 预测和预防产品在制造和使用过程中可能发生的各种偏差、隐患和故障。

随着现代测量仪器及计算机技术的发展, 人们对电路板的诊断维修由最初的人工测试逐渐发展为自动测试。测试方式由原来人工手持表笔、探针接触变为用插座和线缆的可靠连接;对仪器的操作由原来需要人工调整设置测试仪器的各项参数变为现在的仪器全由程序控制工作;测试过程由原来人工对照电路图分析测量点变为事先固化在计算机软件中的操作步骤;最后结论推断也由原来人工分析变为现在的计算机自动判决。大大提高了测试的可靠性、完备性和工作效率, 同时还显著降低了测试任务对人员专业素养的要求程度, 因此自动测试技术得到了广泛的应用[2,3]。

目前自动测试系统由专用系统正在向综合化、通用化的方向发展, 一套自动测试系统的测量范围可以覆盖从直流到射频甚至到微波的广大频段, 使得自动测试系统的性能大大提高, 可以满足更多设备的测试需求, 因而其保障对象及应用范围也大大扩展。

但是随着通用自动测试系统覆盖设备种类数量的增多, 也给测试带来对应的问题:由于测试任务和测试对象的增加, 自动测试系统在使用和维护中都可能出现因人为疏忽而造成的误操作, 如插错转接适配器、被测电路板、测试电缆等, 极有可能会造成被测电路板或通用测试平台的损坏。因此测试系统的防差错功能设计是否完善, 就成为考核自动测试系统性能的一项重要指标。

自动测试系统防差错设计的目的就是从技术上消除产生人为差错的可能性, 保证测试系统的正常运行。自动测试系统的防差错设计包括硬件防差错设计和软件防差错设计, 可以独立使用, 也可以结合用户的要求, 根据被测对象的特点以及测试系统的具体结构而采取不同的组合设计策略[4]。

1 自动测试系统防差错措施现状

目前常用的防差错的主要措施有:

1) 统一醒目的标识和标志

在转接适配器、电路板插座设置统一的标识, 主要内容包括适配器的名称、测试对象名称等, 标识要格式统一、明确且不应引起歧义, 位置应醒目[5]。

2) 选择不同结构的电缆接口或电路板插座

为从物理结构上杜绝电缆连接或电路板接插的错误, 在同一转接适配器上应选择外形尺寸、连接点数或接触类型 (针、孔) 不同的接口, 还可选择带有防插错设计的插座, 如图1所示。插头、插座两端均有限位装置, 一端以A~F字母标识, 另一端以1~6数字来标识, 当插头两端的套筒缺口所对字符和插座两端金属柱突起所对字符正好一致的时候, 插头才能可靠连接到插座上。通过调节两端字符位置, 一共可有36种不同的组合样式, 因此可用来区分足够多的电路板。

当必须使用相同的接口时, 也可人为造成连接器的一些结构区别。比如拔除或堵塞一些多余的接触体, 使相同的电缆间失去互换性。

3) 软件界面给予丰富提示信息

当系统内连接被测对象数量较多时, 为了避免使用者产生歧义, 在软件操作界面可同时以图片和文字方式详细叙述各操作步骤, 同时在关键步骤可给予重复提示。

但是在实际应用中, 上面介绍的几种防差错措施并不能完全杜绝差错现象, 比如测试人员把被测电路板A正确地插到适配器对应的插座上, 但是在软件界面中却错误选择为测试电路板B, 此时系统会按照电路板B的测试流程设置电源和激励信号。这时, 轻则会造成被测电路板的损坏, 重则会损坏测试平台, 甚至威胁到测试人员的人身安全。

由此可见, 单纯依靠简单的被动式的防差错设计仍不完善。为了提高测试安全性, 在测试过程中必须还要进行一些改进型 (主动式) 防差错设计, 将测试风险降低到最小。主动式防差错设计主要是靠软件编程并结合硬件设计来实现的。

2 改进型防差错措施

根据在电路板自动测试过程中容易发生混淆的对象, 可把改进型的电路板自动测试系统防差错设计分为适配器防差错、电路板防差错和连接电缆防差错三类。

a) 适配器防差错

适配器的防差错设计主要依靠在转接适配器内部设置识别电路, 在自动测试系统工作时, 测试程序首先进行适配器的识别, 以判断当前所加载的转接适配器是否正确, 如果错误, 系统会给出警告信息, 提醒用户更换正确的适配器, 并中止测试, 直到所加载适配器型号正确, 测试程序才进行下一步的工作。具体简便易行的识别电路可由下面两种方法实现。

1) 身份电阻识别法

系统中每个转接适配器在同一接口位置用不同的识别电阻来标识不同的适配器识别信息, 如系统编号、设备编号等。电阻阻值的不同组合决定适配器唯一的型号。测试系统初始化时首先由数字多用表读取该识别电阻阻值, 以进行适配器的身份识别。

2) 数字电平识别法

当系统内部IO资源比较丰富时, 适配器识别还可以通过读取数字IO代码的方法来完成。系统可从数字IO资源中分配三个字节 (可根据实际情况酌情增减字节个数) 出来分别代表系统类别代码、设备型号代码和适配器代码。接至+5 V的系统读取值为1, 接至GND的系统读取值为0, 如图2所示。测试过程中由这三个字节的值供软件进行系统、设备型号及适配器的识别。

b) 电路板防差错

在适配器的识别完成后, 由于同一个测试适配器对应的被测电路板也不止一块, 因此还需要进行被测电路板的识别。否则就会出现电路板连接正确, 但是软件选择错误情况, 同样会造成设备损坏, 所以还必须要进行被测电路板的防差错处理。由于被测电路板形态、结构固定, 不可更改, 只能因地制宜, 借助电路板的某些特性来实现电路板的识别。对于通过固定接插件同适配器连接的电路板, 经过实践试验, 本文找到以下两种电路板识别的方法:

1) 接地引脚识别法

大多数电路板的接插件引脚中可能有多个脚 (比如电源地、数字地、模拟地等) 。设计测试适配器时首先根据被测电路板电路图找出相连的两个GND引脚, 其中一个引脚接至适配器电路板的公共地。另一个接至系统矩阵开关的一个输入引脚, 矩阵开关的输出引脚接至系统万用表的正极;适配器所需要测试电路板都做此处理后所得到硬件结构简图如图3所示。这样适配器在没有插入被测电路板时, 无论闭合哪路矩阵开关, 万用表测量电阻值始终是开路状态;而只有某一个电路板插入后, 适配器两个引脚被电路板上对应引脚短路, 此时若闭合该路矩阵开关, 则万用表测量对应通道短路, 再根据事先在数据库中所排定电路板与矩阵开关的对应关系, 则可完成对被测电路板的识别。

2) 身份电阻识别法

而假设被测电路板没有相连的两个GND引脚, 也可通过研究被测电路板原理图, 尽量寻找两个引脚间元件数目少 (发生故障概率越小) 的两个引脚, 通过测量其电阻值 (称其为身份电阻) 的方法来标识不同的被测电路板。测试开始时根据万用表所测量电阻值去判断被测电路板名称, 再去调用对应的测试程序。同时尽量使同一适配器中不同被测电路板身份电阻差别越大越好, 以减小电阻测量误差对电路板身份识别的影响。

3) 电缆防差错

除了通过固定接插件, 电缆也是电路板同外界连接的常用方式。对于这种情况, 上述电路板防差错方法同样适用, 只不过此时需要对适配器端的接插件进行一下改动设计。由于此改动并不涉及被测电路板的接插件, 因而也不会影响该电路板的正常使用。

比如有6块电路板均是通过9芯电缆同适配器连接, 9芯电缆之中只有1根GND引脚。这时可在适配器连接端选择15芯的连接器, 15芯连接器中前面9芯与电路板信号一一对接, 而把余下多出的6芯作为接地识别引脚。即把该引脚与9芯之中的GND引脚在适配器插头端短接, 如图4所示, 此时在适配器上插座对应10~15引脚进行电阻测量, 如没有和GND短路, 则证明连接电缆不正确, 因而可将电缆连接错误情况有效避免。

3 结语

防错技术是一种先进实用的保障品质和提高效率工具, 防错设计是实施防错技术的核心, 本文在研究防错设计实现方式的基础上, 理论与实践相结合, 并对如何结合电路板自动测试技术的实际情况应用防错设计进行了应用研究, 对实际工程中防差错技术的实现具有一定指导作用。

摘要:自动测试是目前电路板测试发展的主要趋势, 而防差错设计是自动测试系统设计时需要考虑的问题之一, 尤其在被测单元种类很多的情况下, 防差错设计直接影响到系统的可靠性、安全性和工作效率, 更应该引起重视。根据实际工程经验着重阐述了电路板自动测试中所采取的防差错措施, 描述了实际工程中适配器、电路板、电缆和软件防差错的实现方法。

关键词:自动测试系统,适配器,电路板,防差错设计

参考文献

[1]赵华坚, 奚立峰.应用防错技术实现质量零缺陷[J].工业工程与管理, 2002 (4) .

[2]张毅刚, 彭喜元, 等.自动测试系统[M].哈尔滨:哈尔滨工业大学出版社, 2001.

[3]张娟, 黄开臣.综合自动测试系统研究[J].测控技术, 2011, 30 (10) :30-35.

[4]孙玉勤, 贺承杰.自动测试系统中的防差错设计[J].研究与开发, 2009, 28 (2) :38-41.

上一篇:社会重组下一篇:真正强大的力量