信号合成范文

2024-05-17

信号合成范文(精选8篇)

信号合成 第1篇

信号发生器经历了自由振荡、频率运算、数字合成 (DDS) 等阶段。本合成函数信号发生器是基于DDS技术实现的。主要实现正弦波、方波、脉冲波、三角波、锯齿波信号输出, 和AM, FM, FSK, BPSK等调制信号输出。正弦信号由DDS芯片直接产生, 其它波形通过计数后送DA输出产生。AM信号采用在DDS的输出DA的参考上叠加调制信号的方式产生。FM信号通过改变DDS参考时钟的频率来实现调频。FSK, BPSK等数字调制使用DDS内部功能实现。

选用16*2的字符点阵LCD屏作为系统信息和用户输入信息显示。输入采用5*7的矩正式键盘。采用RS232串行通讯协议和PC通讯以实现上位机远控。拥有存储调用仪器工作状态的功能。处理器选用功能扩展的51系列单片机。

正弦信号产生的核心芯片采用AD公司的AD9852, 该芯片具有48位相位累加器, 最高300M采样频率, 可以实现1uHz频率分辨率。嵌入FSK, BPSK等数字调制功能, 内部集成了高速比较器和12位高速DA。很适合在数字系统中作为信号产生部件使用。方波信号通过将正弦信号送入AD9852的内部比较器获得, 其它波形通过将获得的方波信号送入计数器, 将计数器输出作为AD9852的内部DA输入, 通过改变计数器模式获得不同的函数波形, 改变方波频率即可实现不同的函数波形频率。

2、系统整体设计

系统主要由处理器相关部分、人机交互部分 (显示、键盘) 、信号发生部分、调制部分、放大器部分、系统软件部分。其中处理器相关部分主要实现对DDS芯片、DA、LCD显示屏、RS232通讯电路、键盘数据读取等功能。信号发生部分主体为DDS芯片和波形管理电路 (CPLD) , 实现正弦、方波、三角、锯齿波的输出。调制部分包括数字调制和模拟调制, 其中FSK、BPSK使用DDS芯片的附属调制功能实现。放大器部分由前置放大和功率放大两部分组成。系统软件由C语言编写, 嵌入在处理器芯片中。本文着重对系统软件部分和处理器相关电路部分进行深入讨论。系统框图如图1:

2.1 硬件部分设计

2.1.1 单片机相关电路设计方案

同处理器相连接的相关电路通过8位总线方式相连接。系统中使用了一片CPLD (LC4128V) 作为波形管理和译码等功能使用, 通过分配不同的地址空间使所有外设分享64K地址空间。

2.1.2 外部扩展RAM部分电路设计

由于系统需要存储大量的显示信息, 如频率参数, 幅度参数, 调制状态信息, 输出波形等。同时大量运算过程的中间信息也需要保存。W78E58系列单片机的内部128字节的和另外扩展的128字节RAM已经不能满足要求, 同时考虑到其它外设地址的分配, 我们扩展了一片32K容量的RAM。为其分配0X0000到0X7FFF的地址空间。具体连接如图2:

51系列单片机的低端地址和数据位为分时复用关系, 所以在使用外部存储器件时必须对低8位地址锁存。74HC373为锁存器, 用来锁存低端地址。W24258为32K静态RAM。其中D0~D7同单片机的P0口连接, ALE为地址锁存信号, A8~A14同单片机的P2口连接为高端地址。CS_RAM为RAM的片选信号, 由地址译码电路产生。

2.1.3 显示部分电路设计

显示部分采用外购的16*2的字符点阵LCD屏, 该屏提供标准8位并行数据接口。通过并行接口可以设置工作状态和发送需要显示的信息。所以在硬件连接上只要分配一个并行总线接口即可。具体连接关系如图3:

图中RP1为LCD屏对比度调节电位器。D0~D7同系统数据总线连接。CS_LCD为LCD屏片选信号和地址线A10信号共同构成LCD屏内部寄存器选通信号, 用来设置显示信息和控制信息。

2.1.4 按键部分电路设计

按键部分没有采用传统的总线或直接IO口读写的方式设置键盘矩阵的横向数据的方式。按键部分为一个安装在前面板上的独立结构, 为了减少主板和按键板的连线数量, 采用了串入并出的方式设置矩阵键盘横向数据。分别由SDA, SCL信号产生串行数据和时钟, 通过74HC164实现并行数据输出。矩阵键盘的纵向数据D0~D3分别和单片机的P1.0~P1.3连接实现键盘状态的读取。键盘扫描中断的产生由一外接的50Hz信号触发单片机的外部中断1产生。原理如图4:

2.1.5 幅度和方波占空比控制部分电路设计

采用了AD7528双8位DA分别控制信号输出幅度和设置方波占空比的比较电压。AD7528产生的幅度控制电压送到AD9852的信号输出DA的参考端, 通过改变参考电压实现输出信号幅度的变化。AD7528产生的占空比控制电压送到AD9852内部高速比较器的一端, 比较器的另输入端口接一个正弦信号, 通过改变比较电压从而获得占空比可以调节的脉冲波信号。如图5:

AD7528为8位并行总线连接方式。D0~D7同系统数据总线连接, CS_DA为片选信号, 由系统译码电路生成。DUTY-AMP用来选择DA数据的设置方向。RP4用来调节DA的参考电压, 决定幅度控制电压的大小。

2.1.6 DDS部分电路设计

DDS (AD9852) 部分是仪器的核心, 其主要功能是利用周期性信号相位连续变化的特点, 通过设置相位累加器的不同步进和开始相位从而得到不同的信号频率。通过相位信息提取对应的幅度信息, 然后把幅度信息送到输出DA合成模拟信号。AD9852除了具备基本的DDS功能外, 还整合了高速比较器、输出控制DA、FSK调制、BPSK调制等功能。该芯片还可以选择控制方式, 有串/并行方式供选择。

我们在应用中主要用来生成正弦波, 同时利用其内部的高速比较器生成方波, 通过改变比较器的比较电压实现方波占空比的调整。通过设置芯片内部的寄存器可以实现FSK, BPSK等数字调制模式。调节DDS输出DA的参考电压实现输出波形的幅度控制。同单片机的连接主要是数据总线, A0~A5的低位地址和WR写控制信号。因其同处理器连接部分主要是8位并行总线故不再图示。

2.1.7 串行通讯部分电路设计

仪器通过RS232协议同PC机进行信息交换, 实现远控功能。RS232协议部分已经由单片机固化为内部功能, 我们需要对其电平进行转换。如图6:

图中MAX232为电平转换芯片, 将单片机的TTL逻辑转换为符合RS232协议的电平。RXD, TXD信号分别接到W78E58的串行输入, 串行输出端口。

2.1.8 单片机系统资源分配信息

单片机被设置为总线工作方式, 数据总线分别与LCD显示屏, 外扩RAM, DDS芯片, 幅度控制DA和用来设置控制位的锁存器等外设相连接。通过地址译码电路为上述单元分配地址空间, 生成片选信号。

INT0外接一个50Hz信号, 产生20mS一次的外部中断事件, 用来作为对键盘的定时扫描。INT1接GPIB (仪器专用并行接口) 中断信号, 响应GPIB事件。RXD, TXD分别接外部串行输入输出信号。P1.0~P1.3接键盘矩阵的纵向接口, 用来接收键盘状态。RXD、TXD分别连接MAX232用于串行远程控制功能。

W78E58系列单片机内部自带程序存储器, 所以没有外接程序存储器, 单片机接成内部存储器模式, EA接高电平。

2.2 软件整体流程设计

主程序由系统初始化和一个循环判断工作状态并执行相应服务程序的循环组成。其它服务程序以中断方式触发调用, 如按键扫描、RS232数据接收等。流程图如图7:

初始化函数主要包括处理器工作状态设置、资源分配, 显示屏、DDS等设备初始化。更新显示函数根据用户设置显示输出信号频率、幅度、调制参数、波形等信息。命令解析函数的功能主要是解析通过RS232接收到的远程控制命令从而执行相应操作。按键处理函数通过获取键盘中断扫描函数反馈的键值分别调用功能键处理函数、数字键处理函数。其中功能键处理函数用于分别处理频率、幅度、波形等用户设置参数。

3、结语

本文基于直接数字频率合成技术 (DDS) , 以W78E58单片机和AD9852芯片为核心, 并辅以外围电路, 设计了信号发生器。简单方便、易于操作, 同时能对输出信号进行有效的滤波和放大, 完全能满足一般应用场合的需要, 具有很高的实用价值。

参考文献

[1]李朝青《.单片机原理及接口技术》 (简明修订版) .北京航空航天大学出版社, 2003.

[2]李大友等《.数字电路逻辑设计》.清华大学出版社, 1997.

[3]徐爱钧, 彭秀华《.单片机高级语言C51应用程序设计》.电子工业出版社, 2001.

信号合成 第2篇

摘要:介绍基于FPGA芯片实现的机载合成孔径雷达数字信号处理机接口板卡。该接口板卡负责将输入数据缓存和信息格式转换,然后打包成处理机需要的数据帧发送到信号处理机,并具有PCI接口功能和在线自检测功能。着重介绍了系统的硬件结构设计和软件实现功能,给出了选用的主要芯片的型号。该接口板已应用于某合成孔径雷达数字信号处理机中,整机使用证明该系统工作稳定,实现了设计中要求的功能。

关键词:数据接口LINK口现场可编程门阵列PCI接口

机载合成孔径雷达(SyntheticApertureRadar,简称SAR)是以“合成孔径”原理和脉冲压缩技术为理论基础,以高速数字处理和精确运动补偿为前提条件的高分辨率成像雷达。对于机载合成孔径雷达成像处理来讲,仅有目标的原始回波数据是不够的,还必须获得雷达和载机的参数。另外,为了满足信号处理机实时处理的要求,要求输入到处理机的各种数据符合处理机成像处理的数据格式。这样,处理机在获得数据帧后就可以直接进行成像处理而不必再有格式转换的开销。但是?目标的原始回波数据与雷达和载机的参数数据来自两个不同的设备?它们的数据格式和时序都是由各自的设备确定的,因此信号处理机便面临着与外围设备接口的问题。

1系统功能

在本机载合成孔径雷达系统中,进出接口板卡的数据流包括数据采集设备输入的原始回波数据、监控设备输入的雷达和载机的参数数据以及输出到处理机的成像处理数据。它们有如下特点:①输入数据流的`速度和时序不匹配。数据采集设备和监控设备是两个异步的系统,它们都有自己的定时控制电路,以自己的速度传输数据。②输入输出数据流的信息格式不匹配。数据采集设备输入的原始回波数据和监控设备输入的雷达和载机的参数数据采用FPDP协议传输,接口板卡输出到处理机的数据采用Link口传输协议进行传输。

考虑到进出接口板卡数据流的特点和雷达系统对接口板卡的控制要求,接口板卡要具有如下功能:

(1)设置数据的缓冲、存储逻辑,以适应不同设备之间的速度差异和时序差异;

(2)进行信息格式转换,将目标的原始回波数据与雷达和载机的参数数据打包,插入相应的帧信息形成处理机要求的数据帧,并控制数据流的走向;

(3)实现PCI接口,能够产生PCI中断;

(4)具有在线自检测功能。

2系统硬件结构设计

为了简化系统硬件设计,增加系统的灵活性,采用FPGA芯片实现系统要求的数据格式转换、打包、分发和逻辑控制功能。数据缓存采用硬件FIFO和软件双口RAM。PCI接口采用专用接口芯片实现。硬件FIFO和软件双口RAM的写时钟分别由各自的FPDP接口提供,其它时钟由接口板上的晶振提供。系统的硬件结构框图如图1所示。

2.1输入数据流的缓存方案

为了适应处理机与数据采集设备和监控设备之间的速度差异,解决速度不匹配问题,需要将输入的数据先进行缓存。数据采集设备送入的原始回波数据每帧的数据量比较大,接口板卡上设计了硬件FIFO作为原始回波数据的缓存器,FIFO的读写使能由FPGA编程控制,写时钟由FPDP接口提供,读时钟由接口板卡上的晶振提供。数据采集设备和监控设备是两个异步的系统,雷达参数数据流和原始回波数据流之间没有同步关系,一帧雷达参数数据对应几帧原始回波数据,因此设计中用双口RAM作为雷达参数数据的缓存器。另外,每帧雷达参数的数据量比较小,本设计在FPGA内利用参数化的模块库(LibraryofParameterizedModules,简称LPM)中的lpm_ram_dp编程实现双口RAM,简化了硬件设计。

2.2PCI接口设计?

实现PCI接口的方案一般有两种:采用可编程逻辑器件和采用专用总线接口器件。采用可编程逻辑器件实现PCI接口的最大好处是比较灵活,缺点是设计难度较高,开发周期较长。采用专用接口器件实现虽然没有采用可编程逻辑器件实现那么灵活,但能够有效地降低接口设计的难度,缩短开发时间。本系统采用专用接口器件PCI9052实现接口板卡的PCI接口。

接口板卡的硬件资源为映射到FPGA内部寄存器的I/O映射空间和一个中断源。PCI9052提供5个局部地址空间,选用Space0作为接口板的地址空间,分配16个8位地址。同时设置相应的初始化,PCI配置寄存器中的PCIBAR2设置为0XFFFFFFF0,向系统请求分配内存的数量为16。设置局部地址空间的范围为0X01000000~0X01000010。PCI9052提供两个局部中断源,选用LINTi1。FPGA提供电平触发中断信号,因此PCI9052的中断触发模式设置为电平触发。利用PCI9052的局部设备片选信号CS0#作为FPGA的片选信号。CS0#片选信号的起始地址和地址范围由CS0BaseAddress寄存器的设置值0x01000011决定,即起始地址为1000000h,地址范围为20h。PCI9052寄存器的初始值由串行EEPROM提供,在PCI9052加电后读取。EEPROM必须采用支持连读功能的芯片,本设计中采用FairChildSemiconductor公司的93CS4

6N。

2.3FPGA的配置设计?

本设计为FPGA提供了两种配置方式:主动串行配置和被动串行配置。

主动串行配置由EPC2向FPGA器件输入串行位流的配置数据。在该配置方式下,一直由FPGA控制着配置过程。在加电过程中,FPGA检测到nCONFIG由低到高的跳变时,就开始准备配置。FPGA将CONF_DONE拉低,驱动EPC2的nCS为低,而nSTATUS引脚释放并由上拉电阻拉至高电平使能EPC2。此后,EPC2就用其内部振荡器的时钟将数据串行地从EPC2?DATA?输送到FPGA?DATA0?。当配置完成后,FPGA释放CONF_DONE,将EPC2与系统隔离。

被动串行配置由编程硬件通过ByteBlaster配置。ByteBlaster产生一个由低到高的跳变送到nCONFIG引脚,然后由编程硬件将配置数据送到DATA0引脚,该数据锁存至CONF_DONE变为高电平为止。当CONF_DONE变成高电平时,用DCLK的10个周期初始化FPGA,器件的初始化由下载电缆自动执行。

为了两种配置方式共存,设计中用拨码开关将EPC2与FPGA和ByteBlaster的下载电缆插座隔离。当用被动串行方式配置时,拨码开关断开,由上位机通过ByteBlaster下载电缆加载FPGA。当用主动串行方式配置时,拨码开关接通,由EPC2加载FPGA。电路图如图2所示。

2.4在线自检测功能

板卡设计时还应考虑便于日常调试维护及故障检测定位。板卡在硬件上针对各电源信号和关键的状态信号设计了信号灯,一旦发现有误,便可给出信号触发该电路板上的信号灯告警,定位系统故障。另外在电路设计时应留出测试孔,以增加电路的可测性。

3系统的软件设计

系统上电后,PCI上电复位,FIFO清零。上位机向相应寄存器写入初始值,完成寄存器设置。当系统启动信号有效时,接口板开始接收数据,进行数据打包和分发,直到上位机向接口板卡写停止命令为止。图3给出了系统工作流程图。

3.1数据的打包和流向控制

雷达参数数据和原始回波数据的数据源是异步的,不能保证两者确切的对应关系,接口板卡利用对缓存原始回波数据FIFO和缓存雷达参数数据双口RAM的读写控制,调整雷达参数数据和原始回波数据对应关系,将两种数据源按处理机要求的输入数据格式组成正确的数据帧。雷达参数数据和原始回波数据打包后的数据帧格式如下:

在程序中,设计了雷达参数发送控制计数器Para_counter和回波数据发送控制计数器Data_counter。系统工作后,每次检测到原始回波数据流的数据有效信号data_valid的上升沿(标记收到完整的一帧回波数据)时,启动雷达参数发送控制计数器开始计数,当计数到设定的值(本设计中为25)时停止计数并启动回波数据发送控制计数器开始计数,计数到设定的值(本设计中为5000)时停止计数。当检测到原始回波数据流的数据有效信号data_valid的下降沿(标记开始接收新的一帧回波数据)时,两个计数器都清零。根据计数器的计数值产生Link口选择信号?确定数据的流向。图4给出了数据流向的示意图。图5给出了基于MAXPLUSⅡ10.0的仿真结果,仿真显示正确地实现了数据打包和流向控制。

图3系统工作流程图

3.2Link口传输协议的实现

Link口的数据传输是在同步时钟线(LxCLK)与应答线(LxACK)相互握手的情况下同步传输的。一个传输字为32bit,Link口以4bit为单位进行传输。在LxCLK上升沿,发送端会发送一个新的4bit数据,在LxCLK的下降沿,接收方锁存数据线上的数据。8个4bit数据发送完毕后,如果接收方准备好接收下一个字,则将LxACK置1。发送方在每次发送新字的第一个4bit时对LxACK采样,如果LxACK为0,表明接收方没有准备好,则发送方保持LxCLK为高电平,且数据线上保持当前的4bit数据,直到接收方将LxACK置1,发送方才将LxCLK下拉,并继续发送新的数据。当发送方缓存为空时,表明没有数据需要发送,则LxCLK保持为低电平。接口板利用VHDL语言实现了Link口传输协议。下面给出了关键的程序片断:

ChangeStateMode?process?NibleCount?empty?LxACK?PresentState?empty?

begin

casePresentStateis

whenSendACK=>

ifLxACK='1'andempty='0'then

NextState<=Send?

elseNextState<=SendACK?

endif?

whenSend=>

ifNibleCount/=3or?NibleCount=3andempty='0'andLxAck='1'?then

NextState<=Send?

elseNextState<=SendACK?

endif?

endcase?

endprocesschangestatemode?

图5数据转发仿真时序较

4应用和结论

信号波形合成分解的设计与实现 第3篇

关键词:傅里叶,信号,合成分解,MATLAB,实现

0 引 言

在《信号与系统》[1]《模拟电子电路》等课程中,信号分解与合成的思想几乎贯穿了整个教材内容。如在连续系统的时域分析中,连续信号分解为许多冲激信号的线性组合,系统的响应可看作不同强度冲激信号产生的响应的合成。同样连续系统的频域分析中,系统响应可看作不同幅度虚指数信号产生的响应的合成。周期信号分解与合成是信号和系统分析由时域向变换域转换的转折点,它对于信号频谱特性的理解及系统频域分析等都有着非常重要的作用。本文对三角形式傅里叶级数中周期信号的分解与合成进行介绍,运用MATLAB 软件对方波信号分解与合成进行仿真分析,设计制作硬件平台,实现了对仿真结果的验证。

1 系统仿真

根据傅里叶级数理论,任何周期函数只要满足Dirichlet条件[2]就可以分解为直流、无限个正弦和余弦函数的代数和。

f(t)=a0+n=1ancos(nΩt)+n=1bnsin(nΩt) (1)

式中,a0=1Τ-Τ2Τ2f(t)dtan=2Τ-Τ2Τ2cos(nΩt)dtbn=2Τ-Τ2Τ2sin(nΩt)dt,式中Ω=2πΤ称为基波角频率。a0为其直流分量,anbn分别为其余弦分量和正弦分量的幅度。对上述化简得到f(t)=A0+n=1Ancos(nΩt+Φn),式中An=an2+bn2Φn=-arctan(bnan)an=Ancos(nΩt)bn=-Ansin(nΩt),其中A0为周期信号中所包含的直流分量,Ann次谐波的振幅,Φn是其初始相位。

根据以上理论,正弦波是波形的基本组成,任何非正弦波都可视为是基波和无数不同频率的谐波分量组成。从而得到近似方波的方案如图1所示(以10 K基波为例)。

F(x)=2E/π(sin(ωt)+13sin(3ωt)+15sin(5ωt)+17sin(7ωt)+Λ)(2)

运用MATLAB仿真生成如图2波形[3,4]。

三角波产生的原理与此类似。它们的不同之处在于三角波的傅里叶级数展开式为:

F(x)=4E/π2(cos(ωt)-13cos(3ωt)+152cos(5ωt)-17cos(7ωt)+Λ)(3)

运用MATLAB仿真生成如图3波形。

2 硬件设计与实现

2.1 系统结构框图

传统的分频电路采用芯片厂家集成的锁相环或CMOS器件设计基于类扭环计数器的分频电路,这两个方案或多或少都存在频率稳定度不高,参数调整困难等缺点。在滤波器的选择上,很多系统采用利用开关电容滤波器,可轻松实现10 kHz、30 kHz、50 kHz的带通滤波。但是开关电容滤波器开关噪声大,容易引入重叠误差[5]。

经过上述分析,本系统由晶体振荡电路产生6 MHz的方波,经CPLD分频及四阶有源滤波器滤波得10 kHz,30 kHz,50 kHz的有效正弦信号,三路信号经放大后峰峰值依次为6 V、2 V、1.2 V,三路信号有稳定的相位关系,经移相网络使其初始相位相同,最后将三路信号叠加输出即得近似方波,三角波的产生与此类似,只是峰峰值和相位略有不同[6]。

2.2 有源滤波器

对于方波信号,为了得到基波分量,需要滤掉3次以上的高次谐波分量,然后交流耦合即可,故滤波器可选为低通滤波器,电路简单且容易实现。

考虑到滤波器的幅度平方函数具有如式(4):

A(Ω2)=|Ηn(jΩ)|2=11+(jΩjΩc)2Ν (4)

式(4)中N为滤波器的阶数,N越大,通带和阻带的近似性越好,如图5所示。

经计算知当 ,选用4阶滤波,30 kHz处的波形已衰减为-40 dB,同时为获得陡峭的衰减特性,选择了4阶有源切比雪夫低通滤波器。利用TI公司提供的Filter Pro软件可以十分容易的设计出截止频率为10 kHz、30 kHz、50 kHz相应的滤波器。

2.3 移向电路

在图6所示移相电路中,由运放的虚短和虚断知节点2. 3处电压相同设为U1,则有:

UΟ=Ui(RΟ+1jωC) (5)

流经R1、R2的电流也相同:

Ui-U1R1=U1-UΟR2 (6)

联立两式可得:

UΟUi=ω2C2RΟ(1+R2R1-R0R2R1)-R2R1+jωC(1+R2R1)1+(ωCRΟ)(7)

输出电压与输入电压相位关系为:

tanφ=ωC(1+R2R1)(ω2C2R0(1+R2R1-R0R2R1)-R2R1)(1+(ωCR0)2) (8)

其中相位随R0的改变而改变,经计算当ω=10 K时,该电路可实现 0°~180°的相移,ω=30 K时,该电路可实现 0°~40°的相移,ω=50 K时,该电路可实现 0°~22°的相移。

3 实验数据与分析

(1) 方波振荡电路输出波形见下图所示,由于受示波器带宽限制,输出方波有一定的失真,如果换用更高带宽的示波器,可观测到较为标准的波形。

(2) 用于合成方波的三路信号

(3) 用于合成三角波的三路信号

由统计的数据值组成方波的基波、3次谐波、5次谐波的峰峰值与理论分析值6 V、2 V、1.2 V基本相符,误差在5%以内。并且四路信号有确定的相位关系。

组成三角波的基波、3次谐波和5次谐波的峰峰值与理论分析值6 V、23V625V基本相符,误差在1%以内,并且三路信号有确定的相位关系。合成近似方波的幅度为5.02,满足要求。

(4) 三路信号送入加法器叠加后,输出波形如图8、图9所示。

4 结束语

本文设计制作了一款基于CPLD, MSP430F169的信号分解合成系统。在MATLAB与该系统上,分别完成了对傅里叶变换周期信号频谱的学习及验证,对建立信号频谱的概念和分析信号频谱有着重要的意义。

于此同时,本系统具有功耗低,幅度相位控制精确,可视化界面控制,操作便捷成本合理等特点。对于构建频谱概念的学习有着教好的意义,具有一定的推广前景。

参考文献

[1]郑君里,应启珩,杨为理.信号与系统(2版)[M].北京:高等教育出版社,2003.

[2]V.F.Kroupa.Phase and Amplitude Disturbances in Direct Digital Frequency Synth-esizers[J].IEEE International Frequency Control Symposium,1999,46:481-486.

[3]黄永安,马路,刘慧.MATLAB7.0/Simulink6.0建模仿真开发与高级工程应[M].北京:清华大学出版社,2005.

[4]熊元新,刘涤尘.傅里叶级数的收敛性与吉伯斯现象[J].武汉大学学报:工学版,2001,34(1):69-71.

[5]陈尚松,雷加,郭庆.电子测量与仪器[M].北京:电子工业出版社,2005:89-105.

信号波形合成实验电路的设计与制作 第4篇

1.1 系统分析

系统设计框图如图1所示。

该系统主要由方波振荡电路、分频滤波电路、移相电路、加法电路及幅值测量显示电路组成。由方波振荡电路产生150KHZ方波, 经分频分别得到10KHZ、30KHZ和50KHZ的方波, 通过滤波得到10KHZ、30KHZ和50KHZ正弦波。正弦波经移相后由加法电路叠加生成合成信号, 同时由幅值测量显示电路显示对应正弦波的幅值。

1.2 系统设计与理论计算

振荡电路

振荡电路如图2所示。该模块主要由锁相环CD4046构成的电路来实现。要产生频率为10kHz和30kHz, 幅度为6V和2V的正弦波信号, 则输入信号幅度必须大于6V, 锁相环锁定在30KHZ附近。

CD4046是通用的CMOS锁相环集成电路, 其锁相环采用的是RC型压控振荡器。当9脚输入端输入5V电源时, 电路即起基本方波振荡器的作用。振荡器的充、放电电容C1接在6脚与7脚之间, 调节电阻R2的阻值即可调整振荡器振荡频率, 振荡方波从4脚输出。

其中V1是9脚的输入电压, VGS是锁相环内部MOS管的栅-源极压降, VTP是栅极的开启阈值电压, VDD是工作电压。

当C1=103Pf, R1=100k时, 振荡频率变化范围为80-150KHZ。

分频电路

CD4018是一个高电压型可预置1/N计数分频器, 固定可编程2, 3, 4, 5, 6, 7, 8, 9, 10分频。包括5级约翰逊计数器, 提供时钟, 复位, 数据, 预置允许, 特点是额定电压达20V, 全静态工作, 标准化对称输出特性, 5V电压, 10V和15V参数级别。5分频电路如图3所示。

滤波电路

本模块采用TI公司UAF42有源滤波器。UAF42是具有高集成度, 特点是通用性强, 可根据需要设计成低通, 高通, 带通和带阻滤波器, 具有高精度频率和高Q值, 片内集成有1000pF±5%的电容。本设计三路正弦波都采用低通滤波, 电路相似, 参数不尽相同。其中10K正弦波滤波电路如图4所示。

当采用如图4电路时, 为低通滤波器, 此时有f=/2π, ω2=R2/R1RF1RF2C1C2, 其中f为滤波器截止频率。根据UAF42内部电路可知:R1=R2=50KΩ, C1=C2=1000PF, 同时外部电路满足RF1=RF2=R5+R6=R7+R8, 所以ω与f就与R5, R6, R7, R8有关, 调节R6、R7来满足要求。

滤波器品质因数Q= (1+R4 (RG+RQ) /RGRQ) / (1+R2/R1) * (R2RF1C1/R1RF2C2) 1/2, 其中RG为增益控制电阻, RQ为品质因数调节电阻, RG=R3, RQ=R4, UAF42内部R4=50KΩ, 于是Q就与图中的R3, R4有关, 调节R4来满足要求。

移相电路

移相电路如图5所示, 由两级运放组成, 本设计中采用LF353, 第一级运放与C17、R23构成有源微分网络, 第二级运放与R24、C19组成有源积分网络。当输入正弦交流信号时, 第一级运放输出超前相位信号, 第二级运放输出一滞后相位信号, 通过调节R24可使输出信号与输入信号相位发生变化。

加法电路

加法电路如图6所示, 本设计采用同相输入加法电路。输出Uo= (1+R25/R27) (U1+U2+U3) 。当R25=R27时, Uo=2 (U1+U2+U3) , 此时实现输入信号叠加。

幅值检测显示电路

该电路由峰值检波电路和显示电路组成。

(1) 峰值检波电路

如图7所示, 峰值检波电路由二极管, 电解电容, 和一个大电阻组成。

(2) 峰值显示电路

本电路由TI公司MSP430F149单片机及相应电路组成, 电路如图8所示。

其中MSP430F149是TI公司16位超低功耗单片机。由2个16位定时器、8路快速12位A/D转换器、2个通用串行同步/异步通信信号接口和18个I/O引脚等构成的微控制器。其特点是电源电压范围为1.8V-3.6V, 超低功耗, 内部集成看门狗定时器。

通过F149单片机的P1.0、P1.1和P1.2口分别进行AD采样, 得到10KHZ、30KHZ和50KHZ正弦波的幅值, 通过按键SW1、SW2、SW3切换在12864液晶上显示各自峰值。

2 测试结果

在测试阶段, 我们对得到的正弦波进行了频率、峰峰值的测量并计算了峰峰值测量误差。测试得到的数据如表1所示。

参考文献

[1]康华光.《电子技术基础——模拟部分》, 高等教育出版社, 2006.1.

[2]SLAU049D, MSP430x1xx Family User's Guide, Texas Inst-ruments, USA.

DDS合成信号的频谱杂散性分析 第5篇

直接数字频率合成(Direct Digital Synthesis,DDS),与传统的频率合成技术相比,具有易于程控,相位连续,输出频率稳定度高,输出频率范围宽、频率转换速度快和频率分辨率高等一系列优异性能,作为产生信号和频率的一种理想方法,发展前景十分广阔。同时,DDS作为一种全数字系统,频谱杂散是其固有的特性,这一直是限制DDS应用的主要因素。为了获取低杂散的信号输出,提高DDS的系统精度,对DDS进行频谱分析就显得尤为必要。这里对由相位截断误差引起的频谱杂散性做了详细分析,并给出了改善合成信号频谱纯度的方法。

1 DDS的基本原理

DDS技术是建立在采样定理的基础上的,它首先对需要产生的波形进行采样,将采样值数字化后存入存储器作为查找表,然后再通过查表将数据读出,经过D/A转换器转换成模拟量,把存入的波形重新合成出来。以正弦信号输出为例,其系统框图如图1所示。

图1中的系统时钟即参考频率源,为高稳定度的晶体振荡器,其输出用于同步DDS的各个组成部分。DDS工作时,频率控制字(Frequency Control Words,FCW) K在每一个时钟周期内与相位累加器累加一次,相位累加器的输出相应地增加一个步长的相位增量。同时相位累加器的输出连接在波形存储器(ROM)的地址线上,随着累加器输出的改变就可对存储器进行查表,把存储在ROM内的波形抽样值(二进制编码)依次查出。ROM的输出送到D/A转换器,经D/A转换器转换成阶梯序列波,最后通过低通滤波器(LPF)平滑后得到一个纯净的模拟量输出。

由于相位累加器字长的限制,相位累加器累加到一定值后,其输出将会溢出,这样波形存储器的地址就会循环一次,即意味着输出波形循环一周。因此改变频率字即相位增量,就可以改变相位累加器的溢出时间,在时钟频率不变的条件下就可以改变输出频率。另外还可根据所需的输出频率来反算出对应的频率控制字K。

可以推出DDS系统的输出频率fOUT与系统时钟频率fCLK之间的关系为:

fΟUΤ=(Κ/2Ν)fCLΚ(1)

可以看出,当K=1时,输出频率fOUT最小,即DDS系统的频率分辨率为:

ΔfΟUΤ=fCLΚ/2Ν(2)

2 相位截断误差的来源

为了达到较高的输出频率,DDS系统的时钟频率一般都比较高。根据式(2),在较高的时钟频率下,为了获得较高的频率分辨率,则只有增加相位累加器的字长N,故一般N都取值较大。但是受存储器容量的限制,存储器地址线的位数W不可能很大,一般都要小于N。这样存储器的地址线一般都只能接在相位累加器输出的高W位,而相位累加器输出余下的(N-W)个低位则只能被舍弃,这就是相位截断误差的来源。

3 相位截断噪声分析

3.1 非均匀采样信号的频谱分析

由于相位截断,频率字的值K就将被分为两部分,其最高的W位将被看作整数部分,而余下的将被看为小数部分,只有频率字的整数部分才对存储器的地址有影响。同时,由于小数的存在,将会造成DDS系统在查表时相位的步进值不再是均匀的,使得对波形的采样为非均匀采样。

设模拟信号f(t),其傅里叶变换为F(ω)。对f(t)在(-1/(2T),1/(2T))范围内进行采样,得到离散函数f¯(t)。假设在0~M-1个采样点之间采样间隔不均匀,但是每个采样点与其后的第M个采样点之间的间隔相等,即存在一个总周期MT,T为平均采样周期。通过采样可以得到数列S=[f(t0),f(t1),f(t2),…,f(tm),…,f(tM),f(tM+1),…],将数列S分成如下一组数列:

S0=[f(t0),f(tΜ),f(t2Μ),]S1=[f(t1),f(tΜ+1),f(t2Μ+1),]Sm=[f(tm),f(tΜ+m),f(t2Μ+m),]SΜ-1=[f(tΜ-1),f(t2Μ-1),f(t3Μ-1),]

定义:

S¯m=[f(tm),00,01,,0Μ-2,f(tΜ+m),0,0,]m=0,1,2,,Μ-1S¯mz-m=[00,01,,0m-1,f(tm),0m+1,,0Μ+m-1,f(tΜ+m),0,0,]

可得:

S=m=0Μ-1S¯mz-m

由此可得,非均匀采样信号的数字频谱为:

F(ω)=(1ΜΤ)m=0Μ-1{k=-F[ω-k(2πΜΤ)]ej[ω-k(2π/ΜΤ)]tm}e-jmωΤ(3)

以正弦函数f(t)为例,设其频率为f0,角频率为ω0=2πf0,则其傅立叶变换为:

F(ω)=2πδ(ω-ω0)

代入式(3),可得正弦波非均匀采样时的幅值为:

A(k)=m=0Μ-1(1Μe-j2πf0(mΤ-tm))e-jkm(2π/Μ)(4)

频谱表达式为:

F(ω)=(1Τ)k=-A(k)2πδ[ω-ω0-k(2π/ΜΤ)](5)

由式(4)可知,非均匀采样后周期信号的基波分量在频率轴上位于f0处,幅值为|A(0)|。根据Parseval定理,得:

k=0Μ-1|A(k)|2=1

由此可得上述非均匀采样频谱的信噪比为:

S/Ν=10lg(|A(0)|21-|A(0)|2)dB(4)

3.2 DDS合成信号的相位截断噪声分析

设DDS系统中波形存储器内的采样点数为N,时钟周期为T,时钟频率fS=1/T,相位累加器的累加值为K,其整数部分为W,小数部分为D/M,且DM互质,即:

Κ=W+D/Μ

由于相位截断误差的存在,DDS合成信号符合上述非均匀采样的原理。仍以正弦波为例,因为MK=M(W+D/M)为整数,相位累加器的输出将是以MT为周期的周期函数,由式可得输出波形的频谱为:

F(ω)=1Τk=-A(k)2πδ[ω-ω0-k(2π/ΜΤ)](7)

幅值为:

A(k)=m=0Μ-1[1Μe-j2π(mΤ-tm)f0]e-jkm(2π/Μ)(8)

根据DDS系统的原理,经整理得到:

2π(mΤ-tm)f0=2π<mD>ΜΜΝ(9)

式中:<mD>M表示mDM为模的余数,将式(8)代入式(9),可得:

A(k)=m=0Μ-1[1Μe-j2π<mD>Μ/ΜΝ]e-jkm(2π/Μ)(10)

A(k)是k,M,ND的函数,可以用A(k,M,N,D)来表示A(k)。

由式(6)可推出DDS系统的信噪比:

S/Ν=10lg(|A(0,Μ,Ν,D)|21-|A(0,Μ,Ν,D)|2)dB (11)

由式(10)可得:

|A(0,Μ,Ν,D)|2=[sin2(π/Ν)(π/Ν)2][(π/ΜΝ)2sin2(π/ΜΝ)](12)

图2是M分别取最小值M=2和最大值M=∞时,N和信噪比的关系曲线。表1是M取最小值M=2时,信噪比随N的取值变化的量化表格。由图2和表1可见,当M固定时,|A(0,N,D)|2是N的递增函数,只要N足够大就可以得到足够高的信噪比。由此增大波形存储器的长度可以提高DDS系统的信噪比。

图2是以N=1 024为例,M和信噪比的关系曲线。当N固定时,虽然|A(0,M,D)|2是M的递减函数,信噪比随着M的增大而减少。但是从图2中可明显看出,M的变化对于信噪比的影响并不大,信噪比在55.04 dB和56.29 dB之间。

4 结 语

综上所述,针对相位截断误差,可以得出改善DDS系统频谱质量的可行性方法:尽量增大波形存储器ROM的容量N,即可显著提高信噪比。

增大N可以有两种方法:

(1) 直接增大波形存储器的绝对容量N;

(2) 通过压缩存储数据来等效增大存储器的数据寻址位。

实际应用中,由于第一种方法受到硬件条件的限制,不可能无限制的增大,所以第二种方法更加具有实效性,限于篇幅,在此对具体实现方式不作讨论。另外,结合上述结论,从减小M值的角度考虑,增大波形存储器的容量N,减少相位累加器输出舍弃的位数,客观上也起到减小M的作用。

参考文献

[1]Nosaka H,Yamaguchi Y,Muraguchi M.A Non-binary Di-rect Digital Synthesizer with an Extended Phase Accumula-tor[J].IEEE Trans.on Ultrasonics,Ferroelectrics and Fre-quency Control,2001,48(1):293-298.

[2]李衍忠,蔡英杰.DDS谱质分析及其杂散抑制研究综述[J].现代雷达,2000,22(4):33-38.

[3]石伟,宋跃,李琳.基于FPGA的DDS调频信号的研究与实现[J].微电脑信息,2005(5):183-184.

[4]张冬梅.基于FPGA实现DDS技术的雷达波形产生器的设计[J].现代电子技术,2005,28(4):103-105.

[5]鲜华,李众立,楚红雨.基于Nios的DDS高精度信号源实现[J].微计算机信息,2006,22(5):190-192.

[6]李海松,张奇荣,权海洋.DDS的相位截断及相应的杂散信号分析[J].微电子学与计算机,2006,23(2):141-143.

[7]曾云,韩顺锋.基于FPGA的DDS信号源设计及误差分析[J].舰船电子工程,2009(2):72-74.

[8]毛敏,张玲娜.基于DDS的高精度信号发生器设计[J].电子科技,2009,22(7):37-40.

[9]周文委,王涌.一种DDS信号发生方法与频谱研究[J].电子器件,2009,32(3):620-622.

信号合成 第6篇

一、油菜素内酯的生理功能

将表油菜素内酯 (24-EBL) 施用植物时, 植物的组织、器官表现出一系列的生理反应。已有的研究表明, BR不仅能改变植物内源激素的平衡、酶的活性以及膜电位, 刺激植物细胞的伸长生长, 还能刺激DNA复制、RNA转录及蛋白质的翻译, 增强乙烯的合成和光调活性等。

1. 细胞伸长。

BR可促进黄瓜的下胚轴、豌豆和绿豆的上胚轴、单子叶植物的中胚轴和胚芽鞘及幼苗茎的伸长, 植物幼嫩的营养器官对BR响应尤其明显。BR通过调控植物细胞液泡膜H+-ATPase的组装, 促进液泡吸收水分, 从而引起细胞的快速伸长生长。Yang等的研究表明, 油菜素内酯的转录因子BESl可直接与全部的拟南芥纤维素合成酶基因的启动子区域结合, 开启这些基因表达。

2. 细胞分裂。

同时向中国大白菜使用3种激素时, 将刺激细胞团和细胞簇的形成, 增强原生质体的分裂的速度。Hu等利用拟南芥悬浮细胞det2首次研究BR对细胞分裂的影响, 发现BR能提高周期蛋白基因Cyc D3———一种D型植物细胞周期蛋白基因的表达。一般来说, 通过Cyc D3途径, BR能刺激细胞分裂, CTK也能通过激活Cyc D3蛋白而刺激细胞分裂, 而且BR在拟南芥的B悬浮细胞与愈伤组织中具有CTK的功能。

3. 细胞分化。

通过观察拟南芥cpd突变体茎的横切面, 显示茎的形成层分化不对等, 且另外的韧皮部细胞在形成层以外形成, 与拟南芥dwf7-1突变体的表型相同。另外突变体维管束的数目减少到6个, 而野生型有8个。Cano-Delgado等报道两个BR受体BRL1和BRL3在导管组织中特异性表达, 而且突变体brl1表现出异常的韧皮部/木质部分化比率。

4. 根系生长。

外源添加低浓度的BR有利于不定根的形成和主根的生长, 同时还可以诱导侧根的形成, BR和生长素对于侧根的形成具有正向作用, 并且对于侧根的形成可能是部分由脂酶A完成的;而高浓度BR会阻碍侧根形成和主根生长。目前认为, BR促进根发育可能是通过调节生长素极性运输实现的。BR促进植株顶端生长素的运输, 是侧根发育所必须的。

二、油菜素内酯的生物合成

通过给植物幼苗和培养的细胞饲喂标记物, 利用GC/MS研究代谢产物, 基本阐明了由鲨烯 (squalene) 前体最终生成BR的反应过程。鲨烯还原生成Campestanol时, 在侧链和甾醇体上经过氧化、羟化步骤, 同时在C-6位酮基化 (在C-22、C-23、C-2和C-3位置的修饰前和后进行酮基化) 。反应的两个途径分别叫早期C-6氧化前途径与晚期C-6氧化后途径。在早期C6氧化途径, 作为BR生物合成的开始, 芸苔甾醇 (campesterol) 通过加氧、羟化、氧化变成6-氧芸苔甾烷醇 (6-oxocampestanol) , 6-氧芸苔甾烷醇再进行羟化生成茶甾酮, 茶甾酮继续脱水、羟化生成香蒲甾醇, 最终形成油菜素内酯和油菜素甾酮。通过研究水稻和烟草幼苗及培养细胞, 证实了6-脱氧油菜素甾酮可以直接生成油菜素甾酮, 表明在许多植物中也存在晚期C6氧化途径, 这是BR生物合成的另一途径。除了水稻和烟草外, 菊芋和拟南芥也存在早期和晚期C6氧化途径。研究还显示, 在不同光质下, BR的生物合成和代谢途径可能有差异, 在黑暗中可能启动早期C6氧化途径, 在光下主要进行后期C6氧化途径。

三、油菜素内酯的信号转导

近年来, 通过生物化学和分子生物学等技术, 人们利用BR突变体研究BR信号转导过程, 取得了极大的进展。

1. BR信号在质膜上的感知。

在高等植物中, BRI1是BR主要的受体。突变掉番茄、水稻、以及大豆中的BRI1将导致BR不敏感的表型。BRI1是BR信号在质膜上感知的主要成分, BRI1的胞外区直接参与了BR的信号识别。最近的研究显示, C-末端的磷酸化程度与BRI1的活性直接相关, 且BRI1的激活必需要BR的参与。作为负调控因子的BRI1蛋白C-末端, 主要调节该蛋白的活性。BAK1能够与BRI1形成异源二聚体, 参与BR的信号转导过程, BAK1不影响BR和BRI1的结合。在豇豆原生质体中, Russinova等利用FRET (fluorescence resonance energy transfer) 技术, 发现BRI1可结合成同源二聚体于质膜上, 在质膜上BRI1和BAK1可生成异源二聚体。BAK1在BR信号传导中的作用机制还不十分清楚。

BRS1在BR信号转导途径的前期发挥功能。当过表达的BRS1基因可以互补bri1-9和bri1-5的表型, bri1-9和bri1-5都是BRS1的胞外域突变体, 但不能互补bri1-5/dwf4-1和bri1-1 (为胞内域突变体) 双突变的表型, 所以, BRS1的活性和BR的生物合成及对BRS1发挥其作用非常关键。BRS1有很强的水解活力, 位于胞外。TTL和TRIP-1是BRS1的两个下游信号分子。TRIP-1的特异位点可被体外重组的BRS1胞内激酶域磷酸化, 经过体内免疫共沉淀实验, 同时证实他们可相互作用, 由此推测TRIP-1可能是胞质BRS1的底物, 调控植物的生长发育。BK11与BRS1互作, 对BR信号传递途径进行负调控。BKI1作为BRS1分子的底物, 当胞质中甾类分子浓度较低时, 位于质膜上的BKI1与BRS1的同源二聚体互作, 进而抑制BAK1与BRS1的相互作用, 抑制BR信号转导途径。当BRS1的胞外域与甾类分子结合后, BRS1被诱导产生磷酸化及活化, 然后活化的BRS1与BK I1分离, 最终BR信号转导途径被激活。

2. BR从细胞膜表面受体到细胞核的信号转导传递途径。

BIN2作为BR信号转导的负调控因子。利用BIN2-GFP研究显示, BIN2既可以定位在细胞质中与细胞膜上, 还可以定位于细胞核中。BIN2对BR信号在细胞内的传递起负调控作用, 但BAK1和BRI1皆不能与BIN2互作, 使BIN2蛋白磷酸化。通过遗传筛选, 发现BZR1和BZR2/BES1是BIN2的底物。BZR1和BES1是BR信号途径下游特异的调控因子。BZR1作为BR合成的调控蛋白定位于细胞核内。BZR1是一种具有调节下游生长反应及BR生物合成双重功能的转录抑制因子。具有双重功能的BZR1说明它在BR信号转导途径中起到重要的调控作用。BZR2/BES1作为转录激活因子, 也定位于细胞核内。最近的研究显示, SAUR-like基因的启动子中的E-box (CANNTG) 可与BES1结合, BES1被位于细胞核内的BIN2磷酸化, 致使磷酸化的BES1丧失与响应基因启动子结合的能力, 从而影响其转录活性, 因此, 调控的关键是BES1的磷酸化。作为一种核蛋白, BSU1能够对BES1的磷酸化进行调控。与BIN2蛋白的功能相反, 通过阻碍BES1的磷酸化, BSU1导致去磷酸化的BES1积累, 然后抑制bin1和bri2的表型缺陷。

四、讨论

虽然BR分子生物学已取得很大进展, 但仍然有许多地方需要深入研究。BR生物合成途径中各环节的酶未完全确定;BIN2的活性调控机理, BES1和BZR1及其他家族成员对目的基因调控和BR分子的合成和降解途径也需要进一步的研究;BR与植物激素间相互作用, 相互影响以及调节植物生长发育的进程也需要进一步的阐明。通过研究不敏感和敏感BR突变体, 克隆出大量的BR生物合成、信号转导和调控相关的基因, 可为我们寻找到更多的与BR对植物生长发育和调控作用有关的信息。

参考文献

[1]Mandava N.Plant growth-promoting brassinosteroids[J].Annu Rev Plant Physiol Plant Mol Biol, 1988, 39 (1) :23-52.

[2]Yang C J, Zhang C, Lu Y N, et al..The Mechanisms of Brassinosteroids&apos;Action:From Signal Transduction to Plant Development[J].Mol Plant.2011, 4 (4) :588-600.

[3]Nakajima N, Shida A, Toyama S.Effects of brassinosteroid on cell division and colony formation of Chinese cabbage mesophyll protoplasts[J].Jpn J Crop Sci, 1996 (65) :114-118.

[4]Hu Y, Bao F, Li J.Promotive effect of brassinosteroids on cell division involves a distinct Cyc D3-induction pathway in Arabidopsis[J].Plant J, 2000, 24 (5) :693-701.

[5]Szekeres M, Nemeth K, Koncz-Kalman Z.Brassinosteroids rescue the deficiency of CYP90, a cytochrome P450 controlling cell elongation and de-etiolation in Arabidopsis[J].Cell, 1996, 85 (2) :171-182.

[6]Cano-Delgado A, Yin Y, Yu C, et al.BRL1 and BRL3 are novel brassinosteroid receptors that function in vascular differentiation in Arabidopsis[J].Development, 2004, 131 (21) :5341-5351.

信号合成 第7篇

很多应用上要求SAS有实时数据处理能力。SAS实时成像系统,需要繁重的算术运算、高数据吞吐率、大存储空间。目前,国外有报道较新的SAS实时成像系统是EdgeTech 4400-SAS系统,该系统测绘带宽可达500米,方位分辨率达到0.1米。本文所阐述的系统是声学研究所研制的合成孔径声纳海试样机系统的实时信号处理处理部分的功能实现。

单处理器系统难以满足SAS实时处理的要求,需要采用多处理器并行处理。本系统构建了一个高性能的阵列信号处理系统,利用多处理器的并行操作和流水线技术提高数据处理效率,实现了SAS信号的实时高效处理。

1系统方案

本文设计的SAS实时信号处理系统由数据采集、传输和存储子系统、声纳信号处理机和显控系统等设备组成。如图1所示。

1.1硬件平台设计

PowerPC系列处理器是Motorola和IBM共同研发的RISC结构体系。 G4系列产品支持对称多处理器(SMP)结构,引入了AltiVec技术来处理矢量运算。表1是本系统采用的两款Dy4公司设计的以PowerPC芯片为处理器的信号处理控制板产品的主要性能参数[3][4]。

根据实际数据处理的需要,我们选用一块SVME/DMV-182信号控制板和三块CHAMP-AV Ⅲ数字信号处理板共同组成SAS实时信号处理的系统硬件平台,信号处理板之间由LINK口连接。系统实物如图2所示。信号处理板插入到基于VME总线的机箱插槽内。板内各处理器节点有独立的IP地址,组成内部局域网。网络中各个节点连接到交换机上,组成星形网络拓扑结构,如图3所示。本文设计的系统中信号处理板内部数据传输通过处理器访问共享内存实现;各个信号处理板之间通过LINK口传输数据。

1.2软件开发环境设计

VxWorks操作系统是美国WindRiver公司开发的一种嵌入式实时操作系统(RTOS),支持多任务内核、任务调度机制、任务间通信和中断处理机制。按照系统的应用,除保留微内核外,还保留了网络支持、文件系统和I/O管理等核心功能。

作为一个应用软件开发环境,Tornado提供了可视化开发界面、交叉编译环境,支持C/C++编译器。SAS实时信号处理系统的软件选用C++语言开发,采用了主机-目标机的开发方式,主机系统为运行Windows操作系统的个人计算机,目标机为运行VxWorks操作系统的PowerPC处理器。应用程序在个人计算机上编写、编译,通过主机与目标机协同完成调试。下载应用程序到目标机上执行。

1.3 SAS图像重建算法

SAS图像重建算法有时域上的“延时相加”“逐点”算法,频域上的距离-多普勒算法(R-D算法),波数域算法(ω-k算法)和Chirp-Scaling算法(CS算法)等“逐线”算法。本系统的SAS图像重建算法选择逐点波束形成算法,该算法具有物理模型简单,占用内存相对较少,数据运动补偿容易实现,对于收发分置和多接收阵的合成孔径成像十分简便的特点。

2系统在信号处理机上的实现

2.1任务模块的并行设计

SAS实时处理系统要在一个周期内完成数据接收,数据整理,运动补偿,波束形成等多个子任务。

对于本系统而言,如何将任务均匀地分配到各个处理器上至关重要。经过对系统的详细测算,波束形成任务是系统处理的主要耗时单元,需要分配多个处理器来完成此项任务。我们将成像区域沿着距离向分成多个小区域,每个处理器处理一个小区域,这样就把计算分配到多个处理器上并行执行。这种任务分配方法的优点是处理器独立运算成像,任务之间不需要任何通讯。计算完毕后处理器把图像结果拷贝到图像存储区即可。根据系统处理的数据量,波束形成时用六个处理器并行计算,图像数据采用两个处理器发送。各个子任务模块在数字信号处理板上的分配如图4所示。

2.2模块的流水线处理

经过任务的分配,每个PowerPC处理器的负荷差异很小。这样,SAS实时处理系统的整个数据处理过程就可以采用模块流水线结构,各个子任务分别并行运行于各自的处理器上。模块流水线是模块间数据计算与I/O的流水线,在物理上表现为各片处理器的并行。系统的流水线按数据流的时间顺序分成八个流水级。各个模块间数据的时间相关性很强,在同一时刻,每个流水级的功能处理模块并行运行,前一级的处理结果直接为后一级的处理提供数据,如图5所示。

本系统运行后,模块流水线节拍的一个时钟周期是一个脉冲重复周期(PRT)。在此时钟周期内,每个模块都完成自己的子任务,系统均匀稳定地输出图像。

2.3通信机制设计

本系统的任务模块间通信情况比较复杂,既有同一处理器内部的任务通信,也有信号处理板内部和信号处理板之间任务的通信。表2给出了本系统通信机制的设计方案。

3海试实验结果

合成孔径声呐海试样机于2005年11月25日至12月22日在东海海域进行了海上拖曳成像实验,试验地点选在舟山群岛水域桃花岛附近。此次海试的主要目的是达到合成孔径声纳实时成像,并使成像分辨率和拖曳速度达到设计要求。表3给出了本次试验的一组典型参数设置。图6是海试目标照片,9个塑料浮球固定在三角形钢架上,底部用重物使之悬浮在水中,距离海底约10米。图7是悬浮目标的合成孔径声纳实时成像结果。

以下为某航次实测数据的实验结果。

5结束语

采用基于PowerPC处理器的信号处理板搭建信号处理平台,能够大大缩短系统开发周期,降低开发风险。本文讨论的SAS实时处理系统运行稳定可靠,有较好的可配置性,应用中可根据模块的实际数据处理情况配置信号处理板。系统的两处不足是接收待处理数据使用的是信号控制板上集成的百兆网卡,数据传输率受到限制;另外,系统通过I/O操作写入数据到SCSI硬盘上受硬盘速度的限制。改进措施考虑在不改变系统的结构和软件设计的情况下采用集成千兆网卡的数据处理板接收数据和应用磁盘阵列提高记录速度。

参考文献

[1] M.P.Hayes,P.T.Gough,Broad-band synthetic aperture sonar,IEEE J.of Oceanic Engineering,1992,17(1):80-94

[2]刘纪元,李淑秋等.合成孔径声纳并行实时处理研究.电子与信息学报,2003,25(6):777-783

[3] SVME/DMV-182 HARDWARE USER'S MANUAL DY 4 Systems Inc.

[4] CHAMP-AV III QUAD POWERPCTM(SVME/DMV-414)USER.S MANUAL DY 4 Systems Inc.

信号合成 第8篇

遥测信号源的主要功能是模拟弹载遥测信息。从技术实现上,可将信号源分为模拟信号源、数字信号源和DDS信号源。其中DDS信号源是现代信号源的发展方向[1]。DDS技术(直接数字频率合成)是近年来迅速发展起来的一种新的频率合成法,具有可编程、易于实现各种数字化调制(如PSK,FSK等高精度的数字调制),频率分辨率高、转换速度快、稳定度高,相位噪声低以及集成度高等优点。近年来,随着遥测技术的发展,遥测产品逐渐呈现出小型化、标准化、系列化等应用需求。因此,为满足应用需求,遥测信号源必须能够提供多样的被测信号类型,根据被测模块参数的变化进行实时调整,实现一一对应。而传统的遥测信号源在设计上缺乏灵活性、通用性,被测参数的多样性和实时性差,无法满足遥测产品的发展需求。针对这一点,本文提出了以FPGA(现场可编程门阵列)和DDS专用芯片为核心的可编程遥测信号源。

1 FPGA及DDS基本工作原理

一般传统的信号源都采用谐振法,即用具有频率选择性的回路来产生正弦振荡,获得所需频率[2]。这种信号源输出波形单一,且频率稳定度和准确度较差,因此传统的信号源已经越来越不能满足现代遥测产品的测量需要。而采用DDS技术设计的遥测信号源可以满足波形多样化,频率、相位灵活可配置的要求,且频率稳定度高。

1.1 FPGA

FPGA是一种高密度的可编程逻辑器件。经过20多年的发展,FPGA的逻辑规模已经从最初的1 000个可用门发展到现在的1 000万个可用门,采用Verilog HDL语言进行设计,在写激励和建模方面存在很大优势[3,4,5,6]。FPGA的基本组成部分有可编程输入/输出单元、基本可编程逻辑单元、嵌入式块RAM、丰富的布线资源、底层嵌入功能单元和内嵌专用硬核等[7,8]。FPGA器件在结构上由逻辑功能块排列为阵列 ,通过可编程的内部连线连接这些功能块来实现一定的逻辑功能。由于FPGA器件集成度高,开发和上市周期短,在数字设计和电子生产中得到迅速普及和应用,曾在高密度的可编程逻辑器件领域中独占鳌头。

Altera公司是目前市场上生产FPGA芯片的主要供应商之一,为用户提供了完善的开发系统和良好的售后支持服务,有着成熟的系列产品。该公司的可编程逻辑产品可以分为高密度FPGA、低成本FPGA和CPLD等三类[7,8]。相对于低成本FPGA来说,高密度FPGA主要用于中高端的路由器和交换机中,价格相对偏高,CPLD虽然价格较低,但布线资源有限,无法适用于电路复杂的时序功能设计。Cyclone(飓风)系列是Altera 公司推出的一款低成本FPGA,主要定位在大量且对成本敏感的设计中[7,8]。Cyclone EP1C6是Altera推出的一款高性价比FPGA,工作电压为3.3 V,内核电压为1.5 V,其密度为5 980个逻辑单元,包含20个128×36 b的RAM块(M4K模块),总的RAM空间达到92 160 b,内嵌2个锁相环电路和一个用于连接SDRAM的特定双数据率接口。

1.2 DDS及其芯片

DDS采用了不同于传统频率合成方法的全数字结构。它最初是在20世纪70年代由美国学者J.Tierncy等人提出的,它是继直接频率合成和间接频率合成之后,随着数字集成电路和微电子技术迅速发展起来的第三代频率合成技术[9]。DDS是指从相位量化概念出发直接合成所需波形,有效地解决了许多模拟合成技术无法解决的问题。

DDS是建立在采样定理基础上,首先对需要产生的波形进行采样,将采样值数字化后存入存储器作为查找表,然后通过查表读取数据,再经D/A转换器转换为模拟量,将保存的波形重新合成出来[10]。DDS基本原理框图如图1所示。

目前AD公司是主流DDS芯片市场的最大供应商,它提供的众多DDS集成芯片以其较高的性价比取得了极为广泛的应用。AD公司的DDS产品主要有AD983X,AD985X和AD995X三大系列。对于AD985X系列来说,其系列产品虽然性能较好但是功耗偏高,而 AD995X系列虽然功耗较低,但其价格高于AD983X系列,AD983X系列是低价格低功耗型产品。在AD983X系列中,AD9833的最大功耗仅为20 mW。同时,AD9833还具有外围电路简单、频率和相位可编程等特点。AD9833通过3线SPI串口进行写操作,内部有5个可编程寄存器,其中包括1个16位控制寄存器,2个28位频率寄存器和2个12位相位寄存器。用户可以通过16位控制寄存器设置所需的功能。AD9833的模拟输出为fout:

fout=(fCLΚ/228)×FREQREG(1)

式中FREQREG为所选频率寄存器中的频率字。

信号相移为pout:

pout=(2π/4096)×ΡΗASEREC(2)

式中PHASEREC为所选相位寄存器中的相位字[8]。

2 基于FPGA和DDS芯片的可编程遥测信号源

传统的遥测信号源在设计上可编程性差,在很大程度上影响了其灵活型和通用性,同时也造成了资源的严重浪费。而本方案的设计具有较强的可编程性,可以灵活配置,通用性较强,大大节约了资源成本。

该遥测信号源的硬件电路主要由低成本FPGA和DDS专用芯片构成,软件采用Verilog语言编程。对于软件部分来说,该信号源的控制接口和控制字编程是软件编程的重要部分。FPGA控制接口通过编程实现串口通信协议,预设控制字必须按照控制接口的通信协议串行输出给DDS专用芯片, DDS芯片才能接收控制字信息,并根据接收到的控制字信息输出所需的波形。

2.1 遥测信号源的硬件构成

遥测信号源主要包括以下三个组成部分。

(1) 按键电路。

它主要是向FPGA部分输送控制信息。一部分按键提供波形选择信息,另一部分提供需要输出波形的频率信息。

(2) 系统FPGA控制核心。

FPGA是系统的核心控制部分。当FPGA接收到按键信息后,发送相应的控制信息给DDS芯片。通过程序设计,FPGA芯片EP1C6T144可实现灵活配置。

(3) DDS电路。

该部分主要采用AD9833芯片来搭建外围电路。根据接收到的FPGA控制信息产生所需波形信号,并将其输出。

系统总体框图如图2所示。

在该系统中,用户可通过波形选择按键输出默认频率的正弦波、三角波、方波等波形,如果在使用的过程中,需要输出不同频率的波形,则可以通过频率选择按键来实现。在FPGA控制模块中,当FPGA接收到数据或状态改变的信息后,所设置的相应的变量赋值会发生相应的改变,然后将相应的控制字输出给AD9833芯片,AD9833接收到控制字后通过直接数字频率合成,最终输出所需的波形。

2.2 遥测信号源的控制接口

DDS芯片AD9833为3线SPI接口,对于一些微处理器来说可以直接与其连接,但对于FPGA来说,必须通过对SPI协议进行编程实现。因此在FPGA控制中,对SPI进行了模块化设计,无论是相位控制字输出还是频率控制字的输出都需经过SPI模块后,根据SPI协议进行输出。FPGA控制原理框图如图3所示。

在FPGA中,首先接收到外部的按键信息,按键状态或数据模块被触发,根据该模块提供的信息,在相位、频率控制模块内,对相应的寄存器(preq0,fdreq0,fhreq0)进行赋值,完成相位及频率控制字的配置,并输入到SPI模块,通过SPI模块进行SPI协议输出给AD9833,控制输出必须满足AD9833的时序控制,时序如图4所示。

在串行时钟输入SCLK(spiclk)的控制下,SCLK为高,使能信号FSYNC(spics)为低时,SDATA(spido输出)开始输入数据,数据以16位字的形式写入AD9833。FSYNC可以在多组16个SCLK脉冲期间保持低电平,传输连续的16位字流,等到数据传输完毕后在最后一个字的第16个SCLK下降沿变高。

2.3 遥测信号源的软件控制字

对于灵活可配置,通用性强的遥测信号源来说,其频率、波形等参数的实时变化是必不可少的。而系统要实现这些参数的实时变化,就必须将控制字进行相应的改变。如正弦波的控制字为十六进制数0008,三角波的控制字为十六进制数000A,方波的控制字十六进制数0028。

由AD9833模拟输出频率的计算公式(参考式(1))可知,如果采用20 MHz的晶振作为AD9833的主频时钟来输出10 kHz的正弦波信号,则可计算出频率字FREQREG的十六进制数为20C49,如果软件设计时选用AD9833的频率寄存器0和相位寄存器0,则加上寄存器标识后,FPGA写入AD9833的频率字高位十六进制数为4008,低位十六进制数为4C49。在给频率寄存器写入数据前,若给控制寄存器写入十六进制数2000,则可将频率寄存器设置成完整的28位来使用,若给写入十六进制数0000,则频率寄存器可以作为两个14位寄存器来使用。相位字可根据式(2)来计算。当相位偏移为0°时,相位字PHASEREC为十六进制数D000(相位寄存器的标示为1101);相位偏移为180°时,相位字PHASEREC为十六进制数D800。

3 仿真验证

仿真是在QuartusⅡ环境下,使用其自带的仿真软件对整个工程进行功能仿真。

仿真采用20 MHz的晶振作为AD9833的主频时钟来输出相位偏移为零、频率为10 kHz的正弦波、方波、三角波,以及相位偏移为180°的5 kHz的正弦波,结果分别如图5~图8所示。

通过模拟输出频率公式可计算频率为5 kHz时,频率字FREQREG的十六进制数为10624,FPGA写入AD9833的频率字高位十六进制数为4004,低位十六进制数为4624。

4 结 论

本文提出了基于FPGA和DDS芯片的遥测信号源。该信号源主要由Cyclone EP1C6和AD9833芯片来搭建硬件电路,采用Verilog 语言实现编程,通过对FPGA进行控制使其输出数据给DDS芯片,最终实现所需波形的输出。仿真表明该遥测信号源能够灵活、方便地输出频率范围为0~12.5 MHz的频率、相位可调的正弦波、三角波、方波信号。此方案的参数化设计,极大方便了对所需波形数据的更改,增强了信号源的灵活性。虽然该信号源能够输出频率、相位灵活可变的正弦波、方波、三角波,但没有实现任意波形的输出。因此以后研究方向是实现对任意波形的设计,以增加信号源的灵活可配置性,进一步增强其通用性。

参考文献

[1]李季.信号发生器发展浅析(上)[J].电子产品世界,2002(19):65-66.

[2]史有建.基于DDS技术和FPGA的任意波形发生器设计[J].河南理工大学学报:自然科学版,2008,27(6):629-633.

[3]姚远,李辰.FPGA应用开发入门与典型实例(修改版)[M].北京:人民邮电出版社,2010.

[4]马建国,孟宪元.FPGA现代数字系统设计[M].北京:清华大学出版社,2010.

[5]刘福奇,刘波.Verilog HDL应用程序设计实例精讲[M].北京:电子工业出版社,2009.

[6]夏宇闻.Verilog数字系统设计教程[M].2版.北京:北京航空航天大学出版社,2008.

[7]吴继华,王诚.Altera FPGA/CPLD设计(基础篇)[M].北京:人民邮电出版社,2005.

[8]吴继华,王诚.Altera FPGA/CPLD设计(高级篇)[M].北京:人民邮电出版社,2005.

[9]TIERNEY J,RACIER C M,GOLD B.A digital frequency synthesmer[J].IEEE Transactions on Audio and Electro-acoustics,1971,19(1):48-57.

本文来自 99学术网(www.99xueshu.com),转载请保留网址和出处

【信号合成】相关文章:

煤制合成天然气工艺中甲烷化合成技术09-12

我国打造煤化工合成气羰基合成产业链01-20

超声合成05-23

合成网络06-06

合成改进06-06

染料合成06-22

影视合成06-24

合成反应07-06

合成方案07-11

合成分解07-23

上一篇:心理矫治与干预下一篇:ICU高危患者