EDA技术及应用

2024-07-21

EDA技术及应用(精选12篇)

EDA技术及应用 第1篇

EDA(electronic design automation, 电子设计自动化 ) 技术就是依靠功能强大的电子计算机,在EDA工具软件平台上,对以硬件描述语言HDL(Hardware Description Language)为系统逻辑描述手段完成的设计文件,自动地完成逻辑编译、化简、分割、综合 、优化、仿真 ,直至下载 到可编程 逻辑器件CPLD/FPGA或专用集 成电路ASIC (Application Specific IntegratedCircuit)芯片中 ,实现既定的电子电路设计功能。EDA技术使得电子电路设计者的工作仅限于利用硬件描述语言和EDA软件平台实现系统硬件功能, 极大地提高设计效率, 减少设计周期,节省设计成本。

在高校电子类专业的实践教学中,几乎所有理工科(特别是电子信息类)高校的电子实验都使用EDA软件,主要是让学生使用软件进行电子电路课程的模拟仿真实验, 既能使设计出的电子系统具有高可靠性,又经济、快速、容易实现、修改便利,还能大大提高学生的实践动手能力、创新能力和计算机应用能力,为今后工作打下基础。

1.教学内容

《EDA技术及应用》是通信工程、 电子信息工程等有关电子类专业的一门非常重要的专业基础课程, 对后续专业课程的综合设计和工程设计实践发挥重要作用。如今,EDA技术已经成为电子设计的重要工具,无论是设计芯片还是设计系统,如果没有EDA工具的支持都将难以完成。EDA工具已经成为现代电路设计师的重要武器,正在起着越来越重要的作用。如果学生能很好地掌握这门技术进行电路的设计, 对毕业以后就业会有很大帮助。

2.传统教学存在的弊端

传统教学模式是理论教学和实验教学独立开课,学生先学习理论知识, 然后到实验室做实验。这种教学模式对于EDA课程教学有一定的弊端 ,由于第一次接触EDA语言和软件,大部分学生反映在上理论课的过程中存在许多难以理解的内容,在做实验的过程中难以将理论知识运用到实践中,为此,在上实验课时,为了让学生更好地明确实验目的,理解实验内容,实验教师还要花较多时间讲解实验内容,这样就导致实验时间不够充分,学生无法完成《EDA技术与应用》课程实验内容,更谈不上让学生动脑思考问题、解决问题,实验效果达不到预期目的,长期使用这种教学模式会导致学生依赖性增强,不利于培养学生的实践动手能力和创新能力。

3.改进教学模式

在授课模式上,针对EDA课程具有很强应用性的特点,应更注重培养学生的实际操作能力。结合现代教育中“练为主,学为次、教为辅”的教学理念,将授课地点安排在实验室,教学练一体化教学。课堂中围绕教学任务先讲解30至40分钟,讲解本节课的主要内容, 举例对具体设计内容进行分析, 编程演示。讲解之后安排相关的实验内容让学生编程。在学生编程遇到问题时,老师及时解决。通过这样一个过程,学生可以基本掌握VHDL语言的结构和语句的使用,以及这样的语句可以综合成什么功能,并且通过自己编写程序,消除对实际编程做设计的畏惧心理,同时调动学习这门课的积极性,激发进行深度探索学习的欲望。从硬件条件看,EDA实验室具有40套康芯公司的PK2EDA实验箱,可供容量为40人的班级每人一台机器进行编程练习。该实验箱采用ALTER公司的芯片,外围配备数码管,蜂鸣器、AD、DA、键盘、液晶显示、串口等电路单元,能在满足学生课内实验要求的同时满足部分学生进行科技制作、创新实验的需求。

4.实践教学的重要性

EDA技术是一门编程实现的课程 ,在理论课程结束后 ,安排为期一周的EDA实习环节,以项目的形式让学生完成,这样可以极大地激发学生的热情和竞争意识, 从而让学生从硬件原理设计、编程实现及硬件调试整各方面熟悉EDA的整个设计流程。让学生三人一组,每个项目不仅要求学生在硬件上实现功能,而且要求写设计报告、现场演示及PPT汇报。通过学生作品功能完整性、合理性、文档的条理性及汇报情况决定学生的得分。

5.结语

EDA技术应用读后感 第2篇

大三的第一学期我们学一门关于EDA技术的课程,虽然对于这个名称不算陌生,之前也听过,但是它有什么功能却什么也不知道。今天在老师的引导下我们读了一些关于这方面的文章,初步的对EDA有了一定的认识。DA技术就是以计算机为工具,设计者在EDA软件平台上,用硬件描述语言VHDL完成设计文件,然后由计算机自动地完成逻辑编译、化简、分割、综合、优化、布局、布线和仿真,直至对于特定目标芯片的适配编译、逻辑映射和编程下载等工作。EDA技术已经成为当今世界电子技术发展的重要领域之一。

电子设计的必由之路是数字化,电子系统的发展到现在已经很多年了,经过多年的发展,现在的发展正是最迅速最完美的时期。EDA的发展涉及多方面,例如,教学方面,在科学研究和新产品开发方面,产品的设计与制作方面。随州EDA技术的发展,世界各国都积极的行动了起来,我国也积极响应世界的发展趋势大力提倡技术的发展。EDA技术越来越广泛的应用,电子产品的日新月异,这项技术已经成为电子设计的何核心,我们作为新一代的大学生更应该深刻认识这一点,努力学习知识,做一名有价值的中国人。

《EDA技术的应用与发展》 作者:张晓霞来源:《中国新技术新产品》 2012-5-25期刊

《EDA技术的发展与应用现状》 作者:张杨林来源:《当代农机》 2007-4-25期刊 《EDA技术的发展》作者:江冰来源:《河海大学常州分校学报》 2004-6-25期刊

建议:希望老师可以上课声音大一点,尽量有耐心的讲的细一点。课堂可以多做一些演示方

浅析电子设计中EDA技术的应用 第3篇

【关键词】电子设计;EDA技术;技术应用

引言

电子技术在信息化时代得到了高速发展,各类电子产品成为了人们生活中不可或缺的一部分,随着电子产品附带的功能逐渐增多以及性能方面的拓展,人们对电子技术提出了更高的要求。集成电路制造技术和电子设计是推动电子产品发展的主要动力,其中电子设计更是以前沿尖端的EDA技术为核心,在电子技术不断取得突破的今天,CPLD、FPGA可编程逻辑器件也越来越多的应用于电子设计,为电子设计带来了广阔发展空间和适应各项需求的灵活性。

1.EDA技术的特点与应用

(1)FPGA/CPLD的编程方式较易实现无线编程、红外编程、超声编程,或通过电话线远程在线编程,并且具有良好的加密功能。

(2)不存在MCU所特有的复位不可靠和PC跑飞等固有缺陷,还可将整个系统下载于同一芯片中,缩小了体积,易于管理与屏蔽,从而具有高可靠性。

(3)对于复杂多变的通信协议来说,利用VHDL进行FPGA编程高效、灵活,并且能够快速适应标准的升级,实际上FPGA的大容量、高速、高性能的发展趋势正是为了迎合通信领域应用的需要。

(4)器件的功能块可以同时工作,能够实现指令级、比特级、流水线级甚至是任务级的并行执行,加快了运算速度,由FPGA实现的运算系统可以达到现有通用处理器的数百甚至上千倍。将EDA技术应用于电子系统设计,能减小设备体积,降低功耗,提高电路的可靠性,减少上市时间,将设计风险降至最小,是数字系统设计的发展方向。在数字信号处理领域,传统的设计方法有2种:

a.采用DSP处理器,如TMS320系列微处理器;b.采用固定功能的DSP器件或ASIC器件。随着DSP系统复杂程度和功能要求的提高,这些DSP解决方案暴露出缺陷:DSP处理器方案成本低,但软件处理数据不可能有很强的实时性能,限制了在高速和实时系统中的应用;固定功能的DSP器件或ASIC器件可以提供很好的实时性能,但灵活性太差。相对DSP处理器,FPGA可以由设计者根据算法的内在并行结构设计合适的处理阵列,避免前者串行执行指令的低效;相对ASIC,FPGA可避免初期巨大的开发投资,并且拥有如微处理器的通用性和灵活性。加之FPGA内部大都提供了RAM、双口RAM和FIFO-RAM等存储体结构,所以FPGA可以完全取代通用DSP芯片或作为通用DSP芯片的协处理器进行工作。如果将通用DSP和FPGA融合在一起,把需要多个时钟周期的运算交给FPGA完成,DSP芯片主要完成单时钟的运算并控制FPGA的“可再配置计算”功能,可更好地把二者的优势发挥出来。

2.电子设计中EDA技术应用需注意的问题

在电子设计中应用EDA技术需要注意以下几点:

①在电子电路设计的时候,延时时间具有不确定性的特征,以及自动编译的部分电路可能会成为赘余,所以电子设计中采用EDA时,反向器的个数不易为偶数并联连接;②输入引脚要保持接地,不能处于悬空的状态,驱动的时候要保证是有源信号;③各个器件的电源要保持接地状态,需要的时候要对各个连接进行滤波和解耦处理;④设计的过程中,逻辑单元和引脚都要留出多余的部分,便于后期的扩展设计或者是设计修改;⑤需要采取一定的冷却处理,避免各个器件使用的时候过热。

3.EDA技术设计流程解析

3.1 源程序的编辑、编译及行为仿真

一项工程的设计首先需利用EDA工具的文本编辑器或图形编辑器将它用文本方式(VHDL程序方式)或图形方式(流程图方式和状态图方式)表示出来。这两种表达方式必须首先通过EDA工具进行排错编译,变成VHDL文件格式,为进一步的逻辑综合作准备。在逻辑综合以前可以先对VHDL所描述的内容进行行为仿真,即将VHDL设计源程序直接送到VHDL仿真器中仿真。

3.2 目标器件

逻辑透配就是将由综合器产生的网表文件针对某一具体的目标器件进行逻辑映射操作,其中包括底层器件配置、逻辑分割、逻辑优化、布线与操作等,配置于指定的目标器件中,产生最终的下载文件。随后,可进行时序仿真。时序仿真是将布线器/适配器所产生的VHDL网表文件送到VHDL仿真器中所进行的仿真。该仿真已将器件特性考虑进去了,因此可以得到精确的时序仿真结果。如果编译、综合、布线/适配和行为仿真、功能仿真、时序仿真等过程都没有发现问题,即满足原设计的要求,就可以将由CPLD/FPGA布线/适配器产生的配置/下载文件通过编程器或下载电缆载入目标芯片CPLD或FPGA中。

3.3 硬件仿真与测试

在电子设计当中,经常会通过FPGA来完成对电子系统设计的功能检测,检测完成之后通過VHDL进行设计,最后呈现结果。这是硬件的仿真过程。而硬件的测试过程是指针对于CPLD以及FPGA直接应用到设计的过程当中,将文件下载之后,对电子设计过程进行功能检测。在对EDA技术进行的功能及时序仿真阶段,如果在仿真过程中没有发现任何问题,就可以将生成的文件下载到目标芯片当中。在这个过程中,应当注意以下几个重要事项:

①不可以采取反相器串联法来构成“延时电路”。

②在输入引脚时不可以悬空,必须通过有源信号进行驱动,将不使用的引脚进行接地。

③器件电源和接地的地线引脚应当要可靠连接。

④为了方便EDA技术应用的扩展和设计,在对要使用的器件进行选择时,要使得逻辑单元以及引脚要有一定的数量余量。

⑤要注意把握好环境的变化,防止对器件造成过热引起故障。

4.8255A芯片设计中EDA技术的应用分析

4.1 8255A端口及构造体说明

该设计模块中PPI端口一共定义了40个引脚,定义与8255A是相同的。端口的构造体许多都是输入输出的双向引脚,其端口是相互对应的。在芯片端口的构造体内部,都是通过bus-in和bus-out总线来实现。

4.2 构造体进程说明

PPI的构造体包括5个进程,主要是读进程、写进程以及形成pa、pb、pc三态输出进程。其中pa、pb和pc进程比较简单,不需要做详细说明,在这里主要分析读、写两个进程。

(1)读进程工作就是指在片选信号和读信号都有效时,从各个端口对外部设备提供的信息数据进行读入。此外读进程对数据线总线的信息数据进行描述并且通过三态缓冲器进行实现。

(2)写进程工作就是在片选信号和写信号有效时,将总线上的数据信息写入到bus-out总线上,与此同时,将总线上的最高数据位进行寄存器保存,便于以后对使用方式的判别。因为在写进程中,VHDL语言编程方法与读进程中的十分相似,再加上源程序比较长,所以本文没有给出详细的源程序。

5.结语

可以说EDA技术的应用为电子设计行业带来了一次技术上的革新,这就要求电子设计工程师要熟练掌握好EDA技术,在提高效率的同时,开发出更多具有高性能的电子产品。使得EDA技术更好地适应社会发展,增强自身竞争实力,并推动电子系统不断向集成化、大规模化的方向快速发展。

参考文献

[1]卢紫毅,肖梓祥.对可编程ASIC发展新趋势的探讨[J].现代电子技术,2001(3):11-13.

程序设计下的EDA技术及应用 第4篇

关键词:程序设计,EDA技术

作为未来的电子电气工程师必须掌握的EDA技术, 主要是面向广大电子电气工程师。EDA技术包含VHDL的基础知识、可编程器件的结构和原理、宏模块的应用和SOPC技术等, 本文对此进行研究。

1 CPLD设计方法

CPLD的设计方法:“CPLD是一种用户能根据各自需要而自行构造逻辑功能的数字集成电路, 其基本设计方法是:借助集成开发软件平台, 用原理图、硬件描述语言等方法, 生成相应的目标文件, 通过下载电缆 (在系统编程) 将代码传送到目标芯片中, 实现设计的数字系统。”此段话在P42页整段重复。本教材P37页主要是介绍CPLD的基本结构, 而P42介绍CPLD的使用。因此笔者认为P37页中介绍CPLD的设计方法一段可以省略。

2 EDA程序设计中的语法知识

2.1格式统一

元件声明语句的格式:

COMPONENT元件名IS

GENERIC Declaration;

PORT Declaration;

END COMPONENT元件名;

在P140例题6.5.1介绍加法计数器设计时的第二步中将设计的元件声明装入my_pkg程序包中, 源代码如下:

P141源代码中的component格式与P139的component格式不一致。P139中的”IS”可以去掉。

3 EDA设计程序的实用

3.1语法与程序的有机结合

EDA程序的进程说明部分定义了三个变量temp1, temp2, temp3, 而程序中只用到了变量temp3。Temp1和temp1没有用到。因此上一段程序的结构体部分可以做如下改进:

3.2进程语句要正确使用

根据VHDL语言的语法规则, 进程结构内部所有语句都是顺序执行的, 而多进程之间是并行执行的。由此得知例题6.1.2的程序中So<=a xor b;Co<=a and b;这两句话是顺序执行。而在例题6.1.3的程序中So<=a xor b;Co<=a and b;这两句话是并行执行。两个例题都是用来实现半加器, 显然两个程序是矛盾的。其中例题6.1.2的程序是需要进行修改的。根据结构体的格式中begin和end之间是并行语句, 可以将6.1.2的程序中的process结构去掉。程序结构体部分修改后如下:

4结束语

EDA技术与应用是介绍EDA工具软件基本功能与基本操作的技能培训教程, 主要介绍了电子电路仿真软件EWB和印制电路板制作软件Protel 99 SE的功能、特点和操作方法。本文从EDA技术与应用的实用角度出发, 以一些简单实用的实例使读者能快速掌握EDA软件的使用方法。

参考文献

[1]马哲伦.教材改革应凸显“以人为本”的现代教育理念[J].中国电力教育, 2010 (13) :115-116.

[2]程耀林.对EDA技术教材改革的探索[J].中国电力教育, 2012 (26) :101-103.

EDA技术及应用 第5篇

湖北师范学院电工电子实验教学省级示范中心电子版实验报告

什么什么设计(研究)

红色部分提交时请删除!!

题目:“什么内容”的设计或“什么内容”的研究,例如: 基于FPGA的数字抢答器设计 基于FPGA的等精度数字频率计设计 Verilog HDL同步时序电路研究 一种简易数字频率计设计

基于FPGA的DDS信号发生器的设计

更多参考“大学生电子实验室”论坛设计选题指南 一.任务解析

根据对设计选题的理解,明确要做什么,要达到什么要求(参数、指标)。二.方案论证

对所要完成的设计任务,参考相关资料,提出设计方案,拿不同方案进行对比分析,选择你能够实现的方案,并明确指出为什么要选择此方案,较其它方案有何优点。三.实验步骤

方案的具体实施,按实际实施过程认真做好原始记录,可以包括单元电路仿真分析,部分指标测试(实际效果)等等,描述演示效果要明确所用设备,说明实验箱,使用了什么仪器等。四.结果分析

对所测试结果(演示现象)做分析,得出结论(描述现象)。五.经验总结

对完成任务情况进行总结,是否达到预期的设计,效果如何,还有哪些可以改进的,改进建议,特别是错误分析。

如果是自己独立完成的,我相信一定会有很多心得体会可以总结的,挫折的苦恼,成功的喜悦。如果你完成了一个设计性实验,一点体会都没有,那么我相信你一定是走捷径完成了任务,而没有真正独立完成本设计任务!老师批改报告,往往把学生的心得体会看成一个亮点。心得体会一定要认真写,把自己做设计性实验的过程认真总结,让老师感受到你是一步一步完成该设计性实验选题的。

电子工程设计中EDA技术的应用 第6篇

【关键词】电子工程;EDA;技术

1.电子工程内涵

随着电子计算机与互联网技术的不断发展,网络技术也开始进入其发展的黄金阶段,这在很大程度上推动了电子技术作为独立产业的深入与持续发展。进入21世纪以来,随着互联网技术对经济发展、社会发展所具有的推动作用日益明显,电子工程及电子工程相关产业的重要性也开始突出出来。为了更好的促进电子工程技术的发展,推动国家综合国力的提高,必须要不断创新电子工程技术,促进电子工程技术的新发展。

电子工程技术作为一门独立的学科,主要是以计算机与网络技术为基本载体,对电子信息进行系统的控制与处理的学科,主要包括电子设备及相关方向系统的开发以及信息的有效处理等几方面的内容。从现阶段电子工程技术的发展来看,电子技术作为一项系统的技术与个开始出现产业链分化,多行业交叉的电子信息技术开始出现,很大程度上带动了一大批新兴产业的发展。

2. EDA技术的含义及特点

所谓EDA技术,就是电子设计自动化(Electronic Design Automation)的缩写,在20世纪90年代初从计算机辅助设计(CAD)、计算机辅助制造(CAM)、计算机辅助测试(CAT)和计算机辅助工程(CAE)的概念发展而来的。EDA 技术就是以计算机为工具,设计者在 E-DA 软件平台上,用硬件描述语言HDL完成设计文件,然后由计算机自动地完成逻辑编译、化简、分割、综合、优化、布局、布线和仿真,直至对于特定目标芯片的适配编译、逻辑映射和编程下载等工作。是计算机信息技术、微电子技术、电路理论、信息分析与信号处理的结晶,也是现代电子工程的最重要的应用技术。

EDA技术代表了当今电子设计技术的最新发展方向,它比电原理图更有效地表示硬件电路的特性,同时具有系统仿真和综合能力,具体归纳为以下几点:

2.1.现代化EDA技术大多采用“自顶向下(Top-Down)”的设计程序,从而确保设计方案整体的合理和优化,避免“自底向上(Bottom-up)”设计过程使局部优化,整体结构较差的缺陷。

2.2.HDL给设计带来很多优点:①语言公开可利用;②语言描述范围宽广;③使设计与工艺无关;④可以系统编程和现场编程,使设计便于交流、保存、修改和重复使用,能够实现在线升级。

2.3.自动化程度高,设计过程中随时可以进行各级的仿真、纠错和调试,使设计者能早期发现结构设计上的错误,避免设计工作的浪费,同时设计人员可以抛开一些具体细节问题,从而把主要精力集中在系统的开发上,保证设计的高效率、低成本,且产品开发周期短、循环快。

2.4.可以并行操作,现代EDA技术建立了并行工程框架结构的工作环境。从而保证和支持多人同时并行地进行电子系统的设计和开发。

3. EDA技术的作用

EDA技术在电子工程设计中发挥着不可替代的作用,主要表现在以下几个方面:

3.1验证电路设计方案的正确性

设计方案确定之后,首先采用系统仿真或结构模拟的方法验证设计方案的可行性,这只要确定系统各个环节的传递函数(数学模型)便可实现。这种系统仿真技术可推广应用于非电专业的系统设计,或某种新理论、新构思的设计方案。仿真之后对构成系统的各电路结构进行模拟分析,以判断电路结构设计的正确性及性能指标的可实现性。这种量化分析方法对于提高工程设计水平和产品质量,具有重要的指导意义。

3.2电路特性的优化设计

元器件的容差和工作环境温度将对电路的稳定性产生影响。传统的设计方法很难对这种影响进行全面的分析,也就很难实现整体的优化设计。EDA技术中的温度分析和统计分析功能可以分析各种温度条件下的电路特性,便于确定最佳元件参数、最佳电路结构以及适当的系统稳定裕度,真正做到优化设计。

4. EDA在电子工程设计中的应用技术流程

近年来的EDA技术深入到了各个领域,包括了通信、医药、化工、生物、航空航天等等,但是在电子工程设计的领域中应用的最为突出,主要利用了EDA技术为虚拟仪器的测试产品提供了技术支持。EDA技术在电子工程设计的领域中,主要应用于了电路设计仿真分析、电路特性优化设计等方面。主要的技术流程如下:

4.1 源程序

通常情况下,电子工程设计首要的步骤就是通过EDA技术领域中的器件软件,利用了文本或者是图形编辑器的方式来进行展示。不管是图形编辑器或者是文本编辑器的使用,都需要应用EDA工具进行排错和编译的工作,文件能够实现格式的转化,为逻辑综合分析提供了准备工作。只要输入了源程序,就能够实现仿真器的仿真。

4.2 逻辑综合

在源程序中应用了实现了VHDL的格式转化之后,就进入了逻辑综合分析的环节。运用综合器就能够将电路设计过程中使用的高级指令转换成层次较低的设计语言,这就是逻辑综合。通过逻辑综合的过程,这可以看作是电子设计的目标优化过程,将文件输入仿真器,实施仿真操作,保持功效和结果的一致性。

4.3 时序仿真

在实现了逻辑综合透配之后,就可以进行时序仿真的环节了,所谓的时序仿真指的就是将基于布线器和适配器出现的VHDL文件运用适当的手段传达到仿真器中,开始部分仿真。VHDL仿真器考虑到了器件特性,所以适配后的时序仿真结果较为精确。

4.4 仿真分析

在确定了电子工程设计方案之后,利用系统仿真或者是结构模拟的方法进行方案的合理性和可行性研究分析。利用EDA技术实现系统环节的函数传递,选取相关的数学模型进行仿真分析。这一系统的仿真技术同样可以运用到其他非电子工程专业设计的工作中,能够应用到方案构思和理论验证等方面。

5. 结束语

伴随着科学的发展,技术的革新,EDA技术的领域也在向高层次的技术推广和开发,成效十分显著。本篇论文我们对EDA技术的相关信息进行了详细的分析很研究,研究表明,EDA技术对于我国的电子工程设计改革具有巨大的推动力,基于EDA技术领域的电子产品在专业化程度和使用性能上都要比传统的设计方案制造的产品更加优化。将EDA技术应用到电子工程设计的领域当中,对于电子产品的优化和工作效率的提高以及产品附加值的拓展都有很大的作用。

参考文献:

[1]白杨.电子工程设计中EDA技术的应用[J].科海故事博览.科技探索,2012(6):242.

EDA技术及应用 第7篇

高职高专精品课程是指体现高职高专教育特色和教学水平的示范性课程。精品课程建设要体现现代教育思想,符合科学性、先进性、创新性、系统性、适用性和教育教学的普遍规律,具有鲜明特色,并能恰当运用现代教学技术、方法与手段,教学效果显著,具有示范性和辐射推广作用[1]。高职高专精品课程建设的主要意义有以下三点。

1.1优化教学内容体系,体现科学性、先进性。

教学内容体系的优化成为现代教育必然选择,高职高专教育贯彻“以就业为导向,以服务为宗旨”的教育思想,要突出应用性与实践性,教学内容的与时俱进尤为重要。通过精品课程建设,及时反映本学科领域的最新科技成果。

1.2鼓励教育教学改革,引导教师创新。

教育教学改革、创新是精品课程建设的支撑力量。作为体现高职高专教育特色和教学水平的示范性课程,必须通过教育思想观念的更新、教学内容的改革、教学方法和手段的创新等提升课程建设的水平,以精品课程建设带动其他课程建设。

1.3促进教育信息化。

精品课程的理论教学与实践教学并重,要求高度重视实验、实习等实践性教学环节,重视配套实训基地的建设,这一切都离不开教育技术的现代化。通过精品课程建设,实现课程教学资源的网络化管理与优质教学资源的共享,可以提高教学的信息化水平,并推动学校整体教学的信息化。

2.“EDA技术及应用”课程建设与改革

“EDA技术及应用”课程按照国家对精品课程建设基本内容与要求,紧紧围绕师资队伍建设、教学内容建设、教学方法和手段改革、教材建设、实验室建设等方面进行了一系列建设和改革。通过几年的不断努力,“EDA技术及应用”课程在实际教学过程中取得了较好的教学效果。

2.1理论教学内容的改革。

根据EDA[2]技术发展的方向,针对培养的对象和毕业生的岗位群,经过多年的教学研究与探索,对教学内容进行了较大切换。

4.通过仿真实验掌握线程的概念

在深入理解线程的概念后,对于程序设计基础比较好的学生,使用VC或JAVA语言多线程技术编写线程的同步与互斥程序,使他们通过学习和实际动手编写程序更好地掌握线程的概念,提高思考和编程能力。

5.结语

线程是操作系统非常重要的概念,但因其概念抽象,不易理解,一直是教学难点之一,本文的实例教学和原理比较教学,可以使学生在短时间内深入了解线程的概念,实践证明了该方法的有效性,实例教学提高了学生对操作系统学习的兴趣,后期的编程仿真增强了学生的编程能力,教学效果显著。

的取舍,上课内容次序也进行了较大的调整。

“EDA技术及应用”课程在教学内容的选取上包括:EDA开发工具的使用、主流厂家生产的常用芯片及特点、常用小型电子系统设计等,使学生在了解EDA技术相关信息的基础上,以常用的典型小型电子系统为内容介绍相关的知识点和设计方法。

2.2实践教学环节改革与优化。

在实践教学中进行较大幅度的改革,构建了基于基础实验、开放实验、综合训练、学科竞赛四个层次的“EDA技术及应用”课程的教学体系。

抛弃了EDA技术原有的学科体系的教学模式,在确定实验内容及实验数量时,要求保证绝大多数学生能够完成的实验内容和实验数量为考核标准,鼓励学生完成更多的实验项目,或者自主设计实验项目。充分调动学生学习兴趣,培养学生的创造性。在实验室管理上采用开放式实验室管理模式,允许学生在EDA技术及应用课程开设期间,或没有开设期间凭学生身份证使用实验室设备进行EDA相关实验。把学生对“EDA技术及应用”课程的学习延续到后续相关课程的学习中,延续到毕业设计等整个教学过程中。

修改培养计划,增加了实验学时和内容,在验证性实验的基础上,大幅度增加设计性实验和综合性实验,在课程大纲指导下提供选做实验,由学生根据兴趣选择实验内容。

随着现代电子技术的发展,EDA实验成为主流,大部分传统的实验都融入了EDA实验,如数字电路、计算机组成原理、计算机接口、通信、信号处理等实验内容。这就要求“EDA技术及应用”课程除了完成本课程的教学任务外,还要服务好、融入到其他课程的教学活动中,并更多地注重创新性实验[3]。要求学生在两周内完成一个简单的工程设计,包括选题、方案设计、软件编程、仿真、下载;在训练题目设计上,体现综合性、工程性、实用性、趣味性,而且每组题目不重复。在保证学生能够独立完成设计任务的同时,根据学生的水平,提供不同难度和工作量的题目,供学生选择,体现因材施教。通过训练,学生普遍较好地掌握了简单电子系统设计的基本方法,动手能力和计算机应用能力得到了明显提高。更重要的是,通过训练,学生普遍对EDA技术的兴趣大增,能够在课外主动思考用所学知识解决身边一些问题的方法,能够主动做一些感兴趣的简单设计,能够积极地参加各类相关科技竞赛。

以多个实验室为基础,电子设计竞赛基地,为学生开设第二课堂,组织、培训和指导学生参加包括全国大学生电子

参考文献:

[1]汤子赢,哲凤屏,汤小丹.计算机操作系统[M].西安电子科技大学出版社,2006.

[2]陈渝,操作系统-精髓与设计原理[M].电子工业出版社,2006.

[3]赵正德,吴绍春,陶永振等.“操作系统”课程教学改革与建设的研究与实践[J].计算机教育,2009,(14):16-18.

[4]徐钦桂,杨桃栏.比较教学法在操作系统教学中的应用与实践[J].计算机教育,2010,(10):95-99.

[5]叶保留,费翔林,葛季栋等.“操作系统”实验课程建设与教学探讨[J].计算机教育,2009,(14):122-125.

基金项目:上海市教委重点课程建设项目(A-2601-12-0009)。

陶行知教育思想在信息技术课程中的应用

郭鹏飞1郭真真2

(1江南大学人文学院,江苏无锡214122;2临颍县固厢乡大屈小学,河南临颍462600)

摘要:随着科学技术的突飞猛进,信息技术已经普遍应用于社会生活的各个领域,信息技术的教育教学也越来越重要。信息技术作为一门实践性强、富有创造力的新兴学科,在课堂教学中要充分体现“源于生活,寓于生活,用于生活”的教育理念。陶行知先生提出“生活即教育”、“社会即学校”和“教学做合一”的主张,这对信息技术的课程教学具有重要的启示意义和指导作用。

关键词:陶行知信息技术课生活教育教学做合一

信息技术课是一门实践性强、富有创造性且具有明显时代发展特点的课程。信息技术课程更应当充分体现陶行知先生的教育思想和观念。我从事信息技术课程研究多年,通过学习和借鉴陶行知先生的教育教学理论,不断探索信息技术教学活动,深刻体会到陶行知先生教育思想所具有的现实意义。下面我就如何在陶行知先生“生活教育”教育思想体系指导下开展、实施信息技术教学实践谈谈看法和体会。

一、教学内容,以“生活化”为中心

著名教育家陶行知先生说:“没有生活做中心的教育是死教育。没有生活做中心的学校是死学校。没有生活做中心的书本是死书本。”信息技术作为一门注重实践的课程,其教学内容需要生活化,要将教学活动置于现实的生活背景之中,让学生在生活中学习,在学习中更好地生活,从而获得鲜活的知识。

在信息技术教学中,教师不能单一地依照教科书“按部就班”组织教学,应把学生对课堂的感受放在首位,充分利用生活中的各种资源,激发学生的学习热情,调动学生的学习兴趣,创设与信息技术相匹配的生活情境,让学生身临其境地感设计竞赛、挑战杯、全国机器人大赛在内的各种科技竞赛。通过一系列学科科技竞赛,为优秀学生脱颖而出提供条件,为创新人才培养探索出了一条路子,也促进了教师水平的不断提高。

2.3教学方法的改革。

探索和改革教学方法,采用启发式教学方式,应用现代教育手段,合理恰当地运用多媒体课件、实验操作的FLASH,弥补传统教学方法的不足,强化教学效果,培养学生对课程的兴趣,培养学生自主学习的能力。

建立“EDA技术及应用”课程网站,利用网络辅助教学。为教师和学生提供更方便的交互平台,激发学生对“EDA技术及应用”课程的学习兴趣,变被动学习为主动学习。实践证明这些做法是非常有效的。

2.4课程体系的改革。

对课程体系进行改革,充分考虑学生的基础知识和已经修过的课程,在理论和实践教学中灵活运用,通过教学实践,逐步优化本课程的课程体系和教学内容。例如,在讲授原理图输入设计时考虑到模拟电子技术、数字电路等课程已经修过,可以用D触发器构成计数器、锁存器等基本应用电路,重点学习实验平台的使用和基本电路的调用。在讲授VHDL语言时考虑到有计算机文化基础、单片机原理、C语言程序设计的基本的程序设计基础,在讲授内容时要求自学与讲授并重[4]。在小型电子系统设计环节的教学过程中注重学生学习能力、知识的运用能力、系统设计能力、分析问题解决问题能力的培养。

受信息技术,从而激发起他们学习信息技术、掌握信息技术、应用信息技术的兴趣,促进学生进一步认识信息技术对日常学习的影响。

生活是学生的终生课堂,源于生活的知识更容易引起学生共鸣进而理解和学习。从学生生活需要入手,让信息技术为生活服务,使学生产生亲近感,可以诱发学生的知识潜能,使学生达到对自我生活、心理的满足,获得成功的喜悦。

二、合作学习,以“小先生”为中心

“小先生制”最先由陶行知先生提出,在他的《怎样做小先生———小先生指导法》、《普及教育续篇》等专集中,均有大量篇幅论及“小先生制”。从他的话语中,我们不难理解的是学生也可以做老师,每个学生都可以参与知识的交流。在信息技术课堂中采用“小先生制”,可以有效开发蕴藏在班级差异化里的巨大能量,使课堂时间的利用率达到最大化,实现向课堂要效率的目标。

由于班级每位学生的信息技术水平有很大的差异性,这就需要信息技术教师在课堂教学中以“小先生”为依托,扩大课堂空间,丰富教学内容,从而取得较好的实践效果。作为“小先生”的学生,为了做好对同学的辅导,自身必然加倍努力学习,掌握必要的知识点和技能。而且,学生的“教”也是进一步学习的过程,通过“教”的实践,能不断拓展自身所掌握知识的广度和深度。

对于被辅导的学生,通过“学”的实践,会发现自己学习方面的差距和不足。同时,由于“小先生”是具有相同地位的同学,他们之间的学习活动是直接交往,是处于耐心、宽容、和谐的气氛之中的。作为被辅导的学生往往能很好地理解所学知识,也能畅所欲言、积极思考,从而达到事半功倍的效果。

2.5考评机制的改革。

“EDA技术及应用”是一门实践性比较强的课程,改革对学生考核评价机制,改变传统的对学生考核的方法,主要以实践考核为主。由上课老师通过多次实验成绩和在实验过程中提问等方式给出实验成绩;选择相对综合性的实验,以完成实验的快慢、实验正确性等给出综合实验成绩;由学生自主选择实验项目或自主设计实验项目作为设计性实验考核成绩。这三个成绩作为该课程的最终成绩。

3. 总结

总之,精品课程建设是一个不断改革、不断完善的建设过程。相信在学校的支持和师生的共同努力下,“EDA技术及应用”课程教学团队经过若干年的不断努力,从理论教学改革、实践性教学改革、课程体系改革、教学方法改革、教材建设、师资队伍建设、网络资源等方面不断建设、不断完善,必将会把该课程建设成为一门有一定影响的精品课程。

参考文献

[1]郑承志.高职高专精品课程建设的实践探索[J].科技咨询导报,2007,(04).

[2]潘松,黄继业.EDA技术实用教程(第四版)[M].北京:科学出版社,2010.

[3]杨旭.EDA技术基础与实验教程[M].北京:清华大学出版社,2010.

应用EDA技术培养学生创新能力 第8篇

1 传统电子设计的缺点与不足

要把一个电子产品从设计图转化为实物, 传统的制作方法是先制作印刷电路板, 然后将选用的电子器件按照电路设计焊接好之后, 再验证设计的正确性。在这个过程中, 往往会出现以下问题:

1.1 设计图的正确性

一个电子产品的设计是否正确, 对于学生来说, 验证的方法就是将设计图付诸于实践, 然后通过实物的执行过程来看结果。对于一个设计来说, 一旦设计图出现问题, 那么所有的工作都将付之一炬。

1.2 元器件的参数选择

对于较精密的电子产品来说, 元器件的参数精度要求是非常高的, 选择的参数是否合适、元器件的延迟时间会不会影响设计结果, 这些问题在传统的电子产品制作过程中都是无法进行验证的。

以上这两个问题往往限制了学生们的一些想法, 使得他们的设计只停留在设计图纸阶段。如果能够很好的解决这些问题, 将会极大地调动学生学习《数字电子技术基础》的积极性, 鼓励他们的创造性, 从而培养出理论知识过硬、实践动手能力强的高技术人才。

2 EDA技术的发展与使用现状

EDA技术 (电子设计自动化Electronic Design Automation) 是以微电子技术发展为物理层面, 现代电子设计技术为灵魂, 计算机软件技术为手段, 最终形成集成电子系统或专用集成电路ASIC (Application Integrated Circuit) 为目的的一门新兴技术。简单的说, E-DA技术就是以大规模可编程逻辑器件为设计载体, 通过硬件描述语言输入相应的开发软件, 经过编译和仿真, 最终下载到设计载体中, 从而完成系统电路设计任务的一门新技术。

随着基于PLD的EDA技术的发展和应用领域的扩大, EDA技术在电子信息、通信、自动控制及计算机应用等领域的重要性日益突出。随着技术市场与人才市场对EDA技术的需求不断提高, 产品的市场效率和技术要求也必然会反映到教学和科研领域中来。

3 EDA技术与电子设计竞赛

从近几届全国大学生电子设计竞赛的题目来看, 需要使用EDA技术的竞赛题目超过全部赛题的三分之一, 其中有的赛题达到了如果没有EDA技术, 将无从下手的程度。事实上, 电子设计竞赛题的内容是市场产品和技术进步的一种反映, 也是对高校相关教学内容改革的要求和促进。对美国一些高校电子与计算机实验室建设情况的调查结果表明, 许多著名院校基于PLD的EDA技术在本科教学中有两个明显的特点:一是各专业中EDA教学实验课程的普及率极高;二是在实验中EDA实验成为主流, 大部分传统的实验如数字电路、计算机组成、接口技术、通信原理、处理器等实验内容, 都融入了EDA实验, 并更多地注重创新性实验。这显然是科技发展和市场需求的结果。

4 EDA教学的三个层次

EDA技术在教学过程中往往还是遵循由简到繁、由单一到综合、由低级到高级的几个阶段, 具体可分为以下三个层次:

4.1 逻辑行为的实现

作为最低层次, 即用EDA工具完成数字电路实验中的部分内容, 如交通红绿灯控制、数码译码显示、逻辑表决期、数字石英钟、普通频率计等纯逻辑行为实现方面的电路设计。其特点是工作频率低, 不用EDA技术及相关器件也能实现, 无法体现EDA技术的优势, 只能作为初学者的过渡。

4.2 控制与信号传输功能的实现

作为第二层, 如A/D高速采样、自动化控制、逻辑分析仪、存储示波器、虚拟仪表、接口与通信模块的设计等, 其特点是必须使用EDA技术才能实现, 技术指标大幅度提高, 能体现EDA的优势, 是电子设计竞赛题最有可能出题的范围。

4.3 算法的实现

作为最高层, 如FIR、FFT、CPU、软核嵌入式系统乃至SOPC的设计开发等, 这个层面的最大特点是对象上升到系统级, 包含软硬件联合设计, 设计技术突破了一般的EDA技术, 而涵盖更广更多的科学分支的理论和技术。

5 EDA技术的教学方法

要注重教学实效。数字电路与EDA技术的侧重点不同, 前者侧重于逻辑行为实现的认知和验证;而后者具有很强的实践性, 侧重于实用电子系统的设计, 侧重于培养学生的自主创新的意识和能力。针对性强的实验应该是教学的重要环节, 因此, EDA实验更应该注重实验的质量, 而绝非注重使用了什么软硬件。

在教学的初级阶段, 完成一些验证性实验, 如用EDA工具重复一些数字电路课程中的实验, 因而可用手工插线方式来完成“设计”, 而不涉及任何技术指标和系统规模。

然而, 众所周知, 实用电子系统的技术指标是十分重要的, 这包括速度、面积、可靠性、容错性、电磁兼容性等。有时指标要求往往决定了所使用的技术, 指标要求推动技术的发展。全国大学生电子设计竞赛题中从来不提使用任何工具或技术来完成赛题, 但参赛者不得不根据给出的技术指标做出选择。因此, EDA课程的实验除了必须完成的基础性项目外, 还引导学生完成一些传统电子设计技术 (包括单片机) 不能实现的内容, 从而突出这一现代电子设计技术的优势。例如UART、PS/2接口或CPU的设计突出了自主知识产权的概念;FIR设计表现了基于EDA技术特有的IP应用技术;纯硬件构成的音乐电路的设计体现了EDA工具面对复杂逻辑电路设计的突出优势。这些实践过程会使学生发现, 诸如ISP下载方式、FPGA、ASIC乃至EDA软件等设计手段都成了配角, 而唯有为更高质量地完成实验项目而不懈追求的设计能动性、创造性和自主应用系统的实现成了主角, 从而有效地提高了这门以培养工程实践能力为主的课程教学效果。

几年来, EDA教学经过了理论和教学实践的检验, 收到了良好的教学效果。在参加的一届全国大学生电子设计竞赛和两届吉林省大学生电子设计竞赛的活动中, 获国家一等奖一项、省一等奖三项、二等奖八项的优异成绩。学生采用EDA技术完成的毕业设计优秀率在60%以上。在近几年学校发起的小发明、小创造的活动中, 采用EDA技术制作的小产品多次获得大学创新奖。

参考文献

[1]高有堂.EDA技术及应用实践[M].北京:清华大学出版社, 2006.[1]高有堂.EDA技术及应用实践[M].北京:清华大学出版社, 2006.

[2]黄仁欣.EDA技术实用教程[M].北京:清华大学出版社, 2006.[2]黄仁欣.EDA技术实用教程[M].北京:清华大学出版社, 2006.

浅析数字电路中EDA技术的应用 第9篇

一、EDA技术设计的基本特点以及技术优势

(一) EDA技术形式的基本特点

在数字电路的应用的过程中, 通过EDA技术形式的应用, 其基本的形式是通过平台完成的, 通过这种文件模式的建立, 可以建立完善的原理图。在EDA内容的建立过程中, 主要具有以下几种特点。首先, EDA的技术形式主要是采用“自顶向下”的技术设计理念, 通过这种技术模式的建立, 可以提高模块的设计方式;其次, 通过EDA技术形式的应用, 可以使数字电路在应用的过程中, 可以实现单独器件的独立应用, 因此, 在技术的设计之前, 可以集中精力进行最优化的设计模式, 在模式的设计过程中, 可以在一定程度上减少设计者在设计过程中的风险, 从而降低设计的成本也缩短了实践;最后, 通过EDA技术形式的应用, 可以减少集中电路的设计的移植工作, 从而形成独立性的技术设计模式。

(二) EDA技术形式的优势性

对于数字电路中的EDA技术而言, 主要是以计算机的工作系统为主要技术平台, 将应用技术信息进行处理、智能技术的优化以及电子技术平台的项目设计, 从而在根本意义上优化的研究成果。随着我国科学技术的逐渐发展, 以及科学技术的不断进步, 基本的技术模式充分的结合了CAM、CAD等技术形式, 从而实现了优化的技术应用形式, 通过与之前设计内容相比可以发现, 通过EDA技术形式的应用可以突出以下几种优势:第一, EDA技术在数字电路的设计过程中, 可以对目标进行现场的编程, 从而及时的进行在线的系统升级, 通过硬件电路设计过程中可以采用软件的设计形式, 通过数据数字显示可以对硬件设施进行系统化的技术应用。第二, 通过EDA技术的应用, 可以实现产品直面的设计自动化, 从而引导设计输入电路进行布局、优化等内容, 最终形成优化的项目设计。换而言之, 通过数字电路设计可以全面的完成电路设计的测试以及优化的操作。第三, 通过EDA技术的应用, 可以实现经济的使用性, 在项目设计的过程中可以实现科学化、合理化项目设计原理, 从而降低产品设计的成本, 在根本意义上缩短产品设计的时间限制, 而且, 也可以实现集成化的制度程序, 通过EDA技术的技术应用, 可以通过芯片的设计扩大电子行业的发展模式, 从而优化数字电路的设计形式。

二、EDA技术应用在数字电路设计过程中的基本步骤以及注意的问题

(一) EDA技术在电力设计过程中的步骤

在现阶段数字电视技术的设计过程中, 在EDA技术的应用过程中可以分为以下几个步骤:第一, 要建立系统性的设计模式, 在项目的设计过程中可以采用自顶向下的产品设计理念;第二, 在技术项目的输入过程中主要可以分为VHDL代码输入以及图形输入两种方式, 其中VHDL的输入方式主要是为了检验输入的代码是否出现错误的现象。第三, 对输入的代码要及时进行处理, 从而形成网表化的数据收集模式, 通过对代码的收入以及验证之后, 在进行目标芯片的载入过程。

(二) EDA技术在设计过程中应注意的问题

在数字电路的设计过程中, 通过EDA技术形式的应用, 应该注意以下几个问题:第一, 在电子电路的设计过程中, 延迟的时间的设计会存在着一定的不确定性, 而且, 在程序的编辑过程中可能会出现信息赘余的现象, 因此, 在电子程序的设计过程中在采用EDA技术的设计过程中, 方向器的个数不应该成为偶数并联的连接现象。第二, 设备的设置过程中, 将设备的引脚要时刻保持接地, 不能出现悬空的现象, 从而保证驱动器在运作的过程中保证有源信号, 在电子器件的应用过程中, 电源也应该保持接地的状态, 从而优化资源的信息处理。第三, 在数字电路的设计过程中, 每个数据的控制都要保留一定的多余部分, 主要是为了方便以后的修改及设计, 避免各个期间在使用过程中出现设备过热的现象。

三、电力系统在设计过程中EDA技术应用的实例

在数字电力系统的设计过程中, 存在电力线载波通讯的通讯装置, 这种装置的主要作用是采用滤波器的低通功能, 从而保证工频电流信号通讯。但是在常见数据的分析过程中, 设备中的数据不能全面的满足实际的需求。因此, 在电子设备的应用过程中, 要经常采用模拟滤波器, 但是, 在设备的应用过程中还存在着一定的问题, 例如在电力设备的使用过程中, 模拟性的滤波器很难处于调试的状态、系统级数相对较低等现象, 所以, 在设备的应用过程中, 为了解决这种问题可以采用EDA技术应用, 在现场的技术应用过程中, 可以将设计作为时序的控制器, 将时序控值器中的其余五个模块中的信号输出, 具体的工作流程可以分为以下几个方面:

首先, 由A/D转换器为时序控制器发送基 (下转第128页) 本的资料, 通过时序控制器中各个模板的信号转换, 将主要的信息资料以及不同模式的信息资料进行收集, 从而优化信息数据的收集模式, 从而为数字化电力系统技术发展提供充分的保证;其次, 在设备的应用过程中, 要合理的运用并串转换模块、系数查表模块以及输出锁存模块等, 从而在根本意义上保证数据信号的顺序性。所以, 我们可以发现, 在数字电力系统的技术应用过程中, 采用VHDL技术形式对于不同模块的信息进行描述, 可以优化信息的收集模式, 通过系统查摆模块的建立可以使用ROM元件进行系统内容的设计, 从而将查找到的元件信息记录在MIF的文件之中, 优化了信息的处理模式, 也改变了传统电路信息的计算模式, 在一定程度上优化的信息运算的基本效率, 同时, 也为我国数字电路系统的信息处理提供了充分的保证。

结束语:

总而言之, 在我国数字电路系统的技术发展过程中, EDA技术的应用形式也相对较为普遍, 很多集成电力的设计模式与EDA技术有着紧密性的联系。因此, 在技术形式的发展过程中, 要提高对信息的收据能力, 在电路设计的过程中要注意应该注意的事项, 从而为EDA技术的发展提供充分的保证。

参考文献

[1]于玲.EDA应用课程教学改革分析[J].辽宁工业大学学报 (社会科学版) , 2012, (1) :136-138.

[2]王彩凤, 胡波, 李卫兵, 杜玉杰.EDA技术在数字电子技术实验中的应用[J].实验科学与技术, 2011, (1) :782-783.

[3]李晓敏, 徐涛.EDA技术在“数字电子技术”课程中的应用[J].中国科技信息, 2011, (9) :167-169.

[4]顾青华.谈电子EDA技术的应用与发展[J].信息与电脑 (理论版) , 2010, (8) :147-149.

[5]谢长焱, 李义府, 彭卫韶, 何怡刚.电子设计自动化技术的发展与应用[J].吉首大学学报 (自然科学版) , 2013 (3) :23-26.

EDA技术在高校教学中的应用 第10篇

一、硬件描述语言

数字系统设计分为硬件设计和软件设计, 随着计算机技术、超大规模集成电路 (CPLD, FPGA) 的发展和硬件描述语言HDL。的出现, 软、硬件设计之间的界限已经被打破, 数字系统的硬件设计可以完全用软件来实现, 只要掌握了HDL语言就可以设计出各种各样的数字逻辑电路。

要让学生学会硬件描述语言, 就必须有一个能提供文件输入, 逻辑综合、编译和仿真的语言环境, 目前使用最为广泛的一个语言环境是Altera公司推出的M ax+plusⅡ软件, 该软件可以帮助学生学习使用Altera公司CPLD产品, Altera是世界上著名可编程逻辑器件的供应商之一, 其CPLD产品代表着PLD的发展方向, 与Xilinx公司的FPGA产品水平互见高低。该软件可以通过图形、文本和波形等方式输入数字电路设计文件, 其中文本方式支持VHDL, AHDL和Verilog硬件描述语言。

Max+plusⅡ中有多个元件库 (全系列TTL宏功能, 巨功能库) , 可以指定MAX7000和10K10系列的两个Altera器件、器件管脚和逻辑综合方式等等, 经过编译形成的下载文件可以通过硬件对器件进行编程。同时, Max+plusⅡ还可以进行错误定位和设计规则检查, 使设计更加简单易行。在无硬件的情况下除下载不能进行外, 其它步骤都可以进行, 可见对于教学使用是非常好的一个软件。可以帮助学生学会VHDL语言并开展CPLD的教学工作, 使教学更上一个台阶, 满足培养跨世纪人才的需求。

二、EDA实验开发系统

当今, 电子电路越来越复杂, 数字电路被更多应用到电路设计中, 可编程逻辑器件已经广泛地用在电子设计中, 而且在电路中的占有比例越来越大, 它一方面提高了系统的可靠性, 另一方面也增强了设计的灵活性和可维护性, 使电子电路的设计更加方便快捷。在硬件的设计思路上, 要改变原来传统的设计方式, 用设计软件的方式方法来设计硬件。在学习新方法的过程中, 一款功能强大、使用方便的辅助学习工具必不可少。目前, 国内外很多公司已经开发出了各种各样的E D A实验系统。内蒙古科技大学信息工程学院结合多年EDA开发经验, 分析国内外多种EDA实验系统, 取长补短, 研发出了BTGY-EDA实验开发系统, BTGY-EDA实验系统为本校学生学习EDA技术提供了巨大帮助。下面以BTGY-EDA实验系统为例, 简单介绍一下EDA实验系统的几个特点:

1. 软开放

全开放的实验系统。对于学生来讲, 自己连线费时费力过于麻烦, 而且还容易出错, 对于所设计的电路来讲, 工作频率不会高, 干扰大。再看完全不开放的实验系统, 接线全部固定, 所做的实验就有限, 只能局限于设计好的几个实验内容, 限制了学生的开发能力。BTGY-EDA实验系统采用软开放式结构, 对实际电路接线固定, 能在高频状态下工作, 干扰、辐射也小, 同时学生又可以用软件方式按设计要求将各IO引脚连接起来。

2. 软件连接

由于是软开放的结构, 学生在实验或设计时, 需要自己连线, BTGY-EDA实验系统采用“软件配置”技术, 在软件上接好需要的连线, 下载到实验系统即可实现接线, 如果连线过程有冲突, 软件还会给出提示, 这就避免了硬件接错线可能导致的实验系统故障, 甚至损坏现象。这种软件接线还有一个好处, 就是将定义好接线保存在磁盘上, 下次做实验或设计时, 从盘上读出即可。如果是硬件接线, 学校中有很多人共用实验系统, 根本无法将接线保留下来。

3. 智能译码

在EDA实验中, 最常用到的电路是显示电路。如果显示电路接到固定的IO引脚, 占用供实验用的IO引脚, 并且也浪费了器件内部大量资源。BTGY-EDA实验系统采用智能译码技术, 与软件连接技术相似, 软件上设置好译码方式后, 下载到实验系统上即可在实验系统实现所要求的译码电路。BTGY-EDA实验系统的智能译码技术在安全的条件下, 可以由学生任意定义接线方式, 灵活多变, 而且可以将接线定义保存在磁盘上。

4. 软、硬件结合

国内外众多的EDA实验系统几乎都是单硬件工作方式, 接线要在实验板上接, 模式要在实验板上选择, 观察结果只能看板上的LED或八段数码管, 如果是高速信号只能看最终的输出结果, 工作时序, 信号波形一无所知, 如果有RAM相关的实验, 因为RAM数据无法下载, 只能以ROM代替, 外部设备的选择只能用跳线来实现或干脆拔掉有冲突的芯片。BTGY-EDA实验系统采用软、硬件结合技术, 可以在PC机的软件上定义实验所要连线, 下载到实验系统上即可。

5. 模式可变

不开放结构的实验系统, 由于接线全部固定, 模式无法改变, 或者在器件中浪费大量资源来设置模式, 这样既不实用, 也不利于学习;全开放的结构, 用手工接线来设置模式, 干扰大不说, 不小心连错线还会造成仪器的损坏, 有些半开放的实验系统, 由于不能与上位机相连, 只能在硬件实验上选择有限的几种模式, 既不灵活, 观察也不方便。BTGY-EDA实验系统由于采用“软件配置”技术, 可以用软件设置模式, 下载到实验系统, 灵活多变。在软件设置模式时, 如果器件之间有冲突, 软件会给出警告, 避免接错线的可能。

6. 适配板与实验系统独立

BTGY-EDA实验系统采用FPGA/CPLD适配板与实验系统主体相互独立的结构, 实验系统的显示译码、键盘输出均不占用适配板的资源。适配板与实验系统之间用IO引脚连接, 从理论上讲, 这种结构可以无限扩展FPGA/CPLD实验种类, 只要在FPGA/CPLD适配板上将正确的IO信号接到实验系统上, 就可以对这种FPGA/CPLD进行实验和设计, 加上本系统的“软件配置”技术, 不但可扩展性强, 使用也灵活。采用这种相互独立的结构, 可以在适配板上对每种FPGA/CPLD来设计制作与芯片完全吻合的编程下载电路, 使FPGA/CPLD的编程下载更加可靠、稳定。可编程下载器件的种类也不会有限制了, 只要有该器件的适配板就行。用户所要做的事就是将编程并行口接到实验系统上。

7. 多种外部设备

实验系统提供了多种常用外部设备, 为学生提供典型的学习电路。这些电路包括高速模入通道、高速模出通道、RS-232串行接口、标准并行接口、PS/2接口等电路, 这些电路真实地体现了EDA设计的高速、时序严格、抗干扰等特点,

三、结束语

现代电子设计技术是发展的, 相应的教学内容和方法也应不断改进, 其中也有许多问题值得深入探讨。

参考文献

[1]任国凤.EDA在数字电路实验中的应用[J].太原师范学院学报 (自然科学版) , 2007, 4

[2]齐洪喜. VHDL电路设计实用教程[M].北京:清华大学出版社, 2004

“EDA”多媒体课件的制作及应用 第11篇

关键词 多媒体教学;多媒体课件;EDA

中图分类号 G434 文献标识码 B 文章编号 1671-489X(2008)014-0078-02

1 引言

多媒体课件就是利用计算机技术将教学的文本、图形、动画、声音、视频等多种媒体信息按照一定的教学目标、教学策略、教学方式组合在一起的教学资源。利用多媒体课件可以把教学内容更加形象生动地传达给学生。

“EDA”课程融合了计算机技术与电子技术的相关原理与方法。通过学习该课程,可使学生利用EDA软件工具,从概念、算法、协议等开始设计电子系统。同时,还可以完成将电子产品从电路设计、性能分析到设计出IC版图或PCB版图的整个过程。因此,“EDA”是一门实践性很强的课程。单纯使用传统的教学方法无法圆满地完成该课程的教学。为了更好的配合教学,根据“EDA”课程的特点,笔者利用Author ware、Flash、Hyper Snap、Photoshop等多媒体创作软件制作“EDA”多媒体课件。通过多媒体课件配合教学,增大教学信息量,提高学生的学习兴趣,充分调动学生学习的积极性和主动性。

2 多媒体课件的设计与制作[1-2]

2.1 制作目的在学习计算机软件使用的过程中,教师的讲解很重要,学生在实验课中根据教师的讲解独立学习的过程也同样重要。因此,“EDA”多媒体课件不仅可供教师在授课时科学、生动地表现教学内容,清楚地讲解EDA相关软件的使用方法以及利用EDA软件对电路设计、仿真的过程,同时还可供学生在实验课以及课余时间自学使用。

2.2 制作脚本根据“EDA”课程的教学目的研究教材,选择出传统教学手段难以处理的概念和现象,用言简意赅的文字形式表达出来;然后将多媒体课件的制作步骤用流程图的形式体现出来,为课件提供直接的设计依据。

2.3 屏幕设计根据课件的内容对操作界面进行合理布局,选择合适的颜色、字体、字号等,使操作界面简单易用,菜单结构层次分明。

2.4 数据制作数据制作主要包括数据采集和数据处理2部分。

在数据采集部分,使用Word2003作为文本的输入与编辑工作;利用屏幕录像专家采集电路仿真过程的视频;利用Hyper Snap采集重要的图片信息。

在数据处理部分,通过音频压缩转换程序(如超级解霸)将CD或WAV的声音文件转换成MP3文件;利用Photoshop将图像转变为JPG格式;利用视频压缩工具将视音频动画文件转换成MPG格式。这样在保证多媒体课件优点的同时又可以尽量节约硬盘空间。

2.5 课件制作

利用Macromedia公司的Author ware多媒体制作软件进行课件的制作,利用基于图标和流程线的编程环境,把各种多媒体数据有机组合起来;同时具备多媒体素材的集成能力,并支持多种格式的多媒体文件。因其具有面向对象、使用简单、编程时间短、效率高等优点而得到广泛使用。

3 多媒体课件的应用

初步完成课件后,将其运用到“EDA”课程的教学中来,通过在教学中的实际应用,及时地发现课件使用中出现的问题,通过解决问题,进一步完善课件。

“EDA”课件由EDA软件介绍、虚拟实验操作演示、虚拟实验实战操作、虚拟实验习题库4部分组成(图1)。

前两部分供教师在授课时使用。EDA软件介绍部分(图2)主要以文字形式详细介绍几种常用EDA软件的特点及应用方向。虚拟实验操作演示部分则详细介绍EDA软件的基本操作及其对电子电路仿真的过程。图3所示为课件中关于用EWB对电子电路进行仿真的界面。在实际教学中,教师可以单步执行,分步讲授用EWB软件对电路进行仿真分析的过程。而学生在课余时间进行自学或回顾的时候可以只用连续播放了解整个仿真的过程。

后两部分则供学生在实验课和课余时间自学时使用。其中虚拟实验习题库(图4)提供了数十个最常见的电子电路,通过学习,不仅可以掌握EDA软件的使用,还可以进一步巩固电子电路的相关理论知识。

4 结束语

通过将“EDA”多媒体课件运用到教学之中,笔者发现利用多媒体课件教学不仅可以增强学生的学习兴趣,提高学生学习的主动性,与传统教学方式相比,多媒体教学可以节约30%的教学时间,从而在总学时不变的情况下使学生有更多的时间在实验室自主学习,进一步增强学生的思维能力与动手能力。

参考文献

[1]李永川,纪勇.多媒体技术在《数字测图技术》教学中的应用探讨[J].地矿测绘,2005,23(4):46—48

EDA技术及应用 第12篇

1 EDA技术基本概述

EDA技术实际上就是电子自动化技术,近年来由于科学技术的迅速发展,形成了EDA新型技术,具备十分广泛的应用范围,虽然相关专家和学者集中研究EDA技术的定义,但是目前还没有获得明确的界定。在CAD技术前提下发展EDA技术,是创新计算机软件系统的一种,EDA技术综合信息技术、CAM、CAT等的特征,计算机为该技术应用的主要操作平台,影响描述语言是EDA设计语言的关键,开发EAD技术的时候合理应用EDA软件,SOC、ASIC芯片是目标器,可编程控制器FPGA/CPLD是试验主要载体。应用EDA技术的时候主要就是进行系统仿真,综合应用各种技术,合理使用高级描述语言。EDA技术从本质上来说就是电子系统设计技术,现阶段国内主要包括Matlab、EWB等应用软件[1]。

2 在数字电子技术试验中EDA技术的应用

2.1 综合、输入

数字电子技术试验过程中,传统技术导致出现比较复杂的工作环境,如硬件、搭试、调试、焊接等虚拟环境,从而严重影响试验的整体质量,所以基于此为了构建良好的试验环境,需要在实际操作过程中注重E D A技术的应用和平台建设,并且依据原理图文本、VHDL文本方式在虚拟平台环境中输入实验项目源文件,以便于能够依据EDA技术来合理处理VHDL文本,并且转换逻辑级线路,合理变为门级电路,最后能够展现出时序分析文件以及网表文件,基于此实际试验操作的时候需要系统化分析试验数据信息,并且有效提高试验的整体效率和成功率。从上述分析过程中可以发现转换项目文件的时候可以应用EDA技术,因此,数字电子技术试验的时候应该提高和落实EDA技术[2]。

2.2 布线布局

布线布局过程中应用EDA技术,也就是利用FPGA/CPLD适配器来处理综合图表数据,并且依据逻辑映射的方式来对数据进行呈现,基于此在仔细观察数字电子技术试验以后,及时发现操作过程中的问题和不足,并且利用合理方式对其进行处理。在EDA技术模式下来进行数字电子技术实验的时候,一般需要涉及底层器件配置、逻辑分割等过程,所以,数字电子技术试验的过程中需要高度重视上述问题,保障能够构建良好的试验环境。此外,在布线布局的前提下进行时序仿真的时候需要注重文件的格式,如JEDEC、JAM,以便于保障能够统一器件结构和适配对象,从而切实满足实际需求。

2.3 仿真

数字电子技术试验的时候应用EDA技术,需要在下载试验编程的时候注重系统仿真功能,也就说测试综合、输入过程的数据信息,并且实际操作的时候应该评估以及管理操作控制试验平台的项目信息,以便于能够顺利获得技术知识。数字电子技术试验的时候应用EDA技术,需要合理利用仿真平台来设计和交互数字信息,以便于自动进行系统化分析试验数据,从而整体提高学习试验效率。此外,建立仿真试验平台的时候需要具备故障处理、项目发布、注册系统的功能,以至于能够确保学习质量和提高教学质量。

2.4 编程下载

仿真环节完成以后需要利用Byteblaster来下载适配器文件,此外也需要利用JTAG来进行下载操作,最终在FPGA中保存文件内容。

3 数字电子技术试验中EDA技术的应用效果

现阶段,数字电子技术中应用EDA技术的时候可以从以下几方面进行分析,一是,提高试验准确性,也就是在传统设计技术不能满足实际需要的时候,为了能够充分展现系统误差应用EDA技术,以此来充分体现试验过程和内容等,以便于能够随时了解和掌握电子技术试验的情况,及时控制试验设备,提高整体试验的效果。二是,随着科学技术的不断发展,目前越来越严格要求实践能力。EDA技术应用在数字电子技术中能够为电路调试、电路更改等过程进行转化,以此构建仿真试验,也就是为试验过程中构建合理的操作环境,从而提高自身实践能力和专业能力。三是,数字电子技术试验中应用EDA技术以后,能够改变传统教学试验方式,改变试验空间受到限制的现象,也就是利用EDA系统、计算机等技术来完成电子试验操作,全面提高整体效果,基于此可以发现,数字电子试验中应用EDA技术能够在一定程度上提高自主设计的效率和质量,保障提高试验的效果。

4 结语

综上,未来发展过程中数字电子技术是关键和主要趋势,也就是在计算机平台基础上建立的EDA技术,深入发展EDA技术,有机结合计算机技术、综合性技术、平台技术,全面发展数字电子技术试验操作,以便于能够稳定发展数字电子技术,未来发展过程中数字电子技术具备更加广泛的应用前景,并且逐渐朝着智能化、高效化方向发展。

摘要:伴随着科学技术和社会经济的迅速发展,传统数字电子技术已经逐渐不能满足社会实际需求,需要进一步研究电子设计自动化技术,这种技术是在计算机信息技术前提下构建的。由于科学技术的发展,EDA技术的不断完善,在众多领域中也得到广泛应用。EDA技术已经成为教育教学中的重要部分,基于此本文主要分析了EDA技术在数字电子技术试验的应用,全面促进改革和创新数字电子技术试验的水平和质量。

关键词:EDA技术,数字电子技术,试验

参考文献

[1]辛修芳,李媛.EDA技术与数字电子技术教学的整合[J].重庆电子工程职业学院学报,2010,19(2):155-157.

上一篇:贪吃蛇游戏论文下一篇:SSH电工电子