数字逻辑课程教学要求

2023-04-09

第一篇:数字逻辑课程教学要求

《数字逻辑电路》课程教学大纲

第一章 数制与编码

在数字电路和计算机中,只用0和1两种符号来表示欣喜,参与运算的数也是由0和1构成的,即二进制数。考虑到人类计数习惯,在计算机操作时,一般都要把输入的十进制数转换为二进制数后再由计算机处理;而计算机处理的二进制结构也需要转换为便于人类识别的十进制数然后显示出来,因此,需要学习不同的数值及转换方法。

通过这一章的学习,学习者要理解数字电路的特点以及几种数制之间的转换方法 进一步学习后续内容打好基础;

本章的主要教学内容(教学时数安排:8学时): §1.1 概述

§1.2 数制与编码 §1.3 编码

第二章 逻辑代数

本章主要介绍逻辑代数的基本定理和定律,常用公式及三大规则(代入、反演、对偶)。

通过本章的学习熟悉逻辑代数的各种表示方法(真值表、表达式及逻辑图等),理解各种逻辑门的图形符号,理解最小项的基本概念及标准与或式的表示方法。掌握逻辑代数变换技巧及逻辑代数化简方法。

本章的主要教学内容(教学时数安排:8学时): §2.1 逻辑代数的基本概念 §2.2 逻辑代数的运算法则 §2.3 逻辑代数的表达式 §2.4 逻辑代数的公式简化法

第三章 门电路

本章介绍典型TTL集成电路的基本工作原理,典型TTL与非门主要外部特性(电压传输特性、输入特性、输出特性),OC门和TS门的图形符号及逻辑功能,及其正确应用的注意事项。

要了解典型TTL集成电路的基本工作原理,要求掌握典型TTL与非门主要外部特性(电压传输特性、输入特性、输出特性),熟悉一些主要参数,理解OC门和TS门的图形符号及逻辑功能,了解其正确应用及注意事项。了解MOS门电路(特别是CMOS门电路)的构成,熟悉逻辑特性。

本章的主要教学内容(教学时数安排:8学时): §3.1 概述

§3.2 体二极管和三极管的开关特性 §3.3 分立元件门 §3.4 TTL集成门

§3.5 其他类型的双极型集成电路 §3.6 MOS集成们

1

第四章 组合逻辑电路

本章主要介绍了掌握组合逻辑电路的分析方法,一些常用的组合逻辑电路,如加法器、数据选择器、数据分配器等,以及半导体数码管的基本结构和引脚符号的含义,组合逻辑电路的竞争冒险现象。

通过本章的学习,要掌握组合逻辑电路的分析方法,以识别给定电路的逻辑功能,能设计一些简单的,常用的组合逻辑电路,掌握编码器、译码器的基本概念及应用方法,了解半导体数码管的基本结构和引脚符号的含义,了解加法器、数据选择器、数据分配器的基本原理和应用,了解组合逻辑电路的竞争冒险现象。

本章的主要教学内容(教学时数安排:8学时): §4.1 概述

§4.2 若干常用的组合逻辑电路

§4.3 基于Verilog HDL的组合逻辑电路设计 §4.4 组合逻辑电路的竞争——冒险现象

第五章 触发器

本章主要介绍了基本RS触发器的组成、工作原理、逻辑功能及逻辑功能的描述方法,还有同步触发器的电路结构,逻辑功能,主要介绍了边沿JK触发器、T触发器、维持阻塞D触发器集成JK、D触发器。

通过本章的学习,要理解掌握基本RS触发器的组成、工作原理、逻辑功能及逻辑功能的描述方法,了解同步触发器的电路结构,熟记其逻辑符号、逻辑功能,并会熟练运用,掌握主从JK触发器、T触发器、维持阻塞D触发器的逻辑符号,逻辑功能;掌握集成JK、D触发器的使用常识。

本章的主要教学内容(教学时数安排:8学时): §5.1概述

§5.2 基本RS触发器 §5.3 钟控触发器 §5.4 集成触发器

§5.6 触发器之间的转换

§5.7 基于Verilog HDL的触发器设计

第六章 时序逻辑电路

本章主要介绍了时序逻辑电路的概念及与组合逻辑电路的区别,寄存器的电路组成、常见类型及逻辑功能,以及时序逻辑电路的分析方法和设计方法,重点介绍了常见的二进制、十进制计数器工作原理及功能,集成寄存器、计数器的工作原理与设计方法。 本章是本课程的重要部分。

通过本章的学习,掌握时序逻辑电路的概念及与组合逻辑电路的区别,掌握寄存器的电路组成、常见类型及逻辑功能,熟练掌握时序逻辑电路的分析方法和设计方法,掌握常见的二进制、十进制计数器工作原理及功能,了解集成寄存器、计数器的使用常识。

本章的主要教学内容(教学时数安排:8学时): §6.1 概述

§6.2 数码寄存器和移位寄存器 §6.3 计数器

2 §6.4 基于Verilog HDL的时序逻辑电路的设计

第七章 脉冲单元电路

本章主要介绍脉冲波形的主要参数,555定时器、单稳态触发器、施密特触发器、多谐振荡器的电路组成、工作原理以及各种触发器的应用。

通过本章的学习后,要掌握脉冲产生和变换电路的调试方法熟悉脉冲波形的主要参数,掌握单稳态触发器、施密特触发器、多谐振荡器的电路组成和工作特点,掌握555定时器的功能。

本章的主要教学内容(教学时数安排:6学时): §7.1 概述

§7.2 施密特触发器 §7.3 单稳态触发器 §7.4 多谐振荡器

第八章 数模和模数转换

本章主要介绍了 A/D与D/A转换电路的概念及A/D与D/A转换的区别, A/D与D/A转换电路组成、常用参数、分辨率和误差。

通过本章的学习后,要掌握A/D与D/A转换电路的概念及A/D与D/A转换的区别,掌握A/D与D/A转换电路组成、常用参数、分辨率和误差,熟练掌握转换的使用环境和特定型号。

本章的主要教学内容(教学时数安排:6学时): §8.1 概述 §8.2 数模转换 §8.3 模数转换

第九章 程序逻辑电路

半导体存储器是程序逻辑电路中的主要组成部分。本章主要介绍了程序逻辑电路的结构和特点,然后系统的介绍了半导体存储器的工作原理和使用方法。

通过本章的学习后,要了解程序逻辑电路的结构和特点,并掌握半导体存储器的工作原理和使用方法

本章的主要教学内容(教学时数安排:4学时): §9.1 概述

§9.2 随机存储器 §9.3 只读存储器

§9.4 程序逻辑电路的应用

制定者:

执笔 校对者: 审定者:

批准者:

3

第二篇:《数字电路与逻辑设计》课程教学大纲

先修课程:高等数学、普通物理、电路与电子学

(一) 课程地位、性质和任务

《数字电路与逻辑设计》是计算机科学与技术专业的主干课程,是一门专业技术基础课。它不仅为《计算机组成原理与汇编程序设计》、《微机接口技术》、《计算机系统结构》、《数据通信与计算机网络》等后续课程提供必要的基础知识,而且是一门理论与实践结合密切的硬件基础课程。

(二) 课程教学基本要求

本课程是计算机科学与技术专业的一门专业基础课程,通过本课程的学习,使学生熟悉数字电路的基础理论知识,理解基本数字逻辑电路的工作原理,掌握数字逻辑电路的基本分析和设计方法,具有应用数字逻辑电路,初步解决数字逻辑问题的能力,为学习计算机硬件打下扎实的基础。

(三) 课程主要内容及学时分配

第一章 逻辑代数基础

逻辑代数是分析和设计数字电路的数学工具,本章主要介绍逻辑代数的公式、定理及逻辑函数的化简方法,要求掌握常用进制及其转换,基本和常用逻辑运算,逻辑代数的公式、定理,逻辑函数的公式、图形化简化,逻辑函数的五种表示方法及相互之间的转换。 教学重点:

逻辑代数的公式、定理,逻辑函数的公式、图形化简法。 教学难点:

公式、定理、规则的正确应用,逻辑函数化简的准确性。 方法提示:

通过多举例子,多做练习以提高对公式应用的熟练性。

第二章 逻辑门电路

集成逻辑门是构成数字电路的基本单元,本章主要介绍MOS和TTL集成逻辑门的逻辑功能的电气特性。要求掌握高、低电平与正、负逻辑的概念,二极管、三极管、MOS管的开关特性,熟悉二极管与门和或门,三极管非门的电路结构及工作原理,掌握其电气特性和功能。掌握与门、或门、非门、与非门、或非门、与或非门、异或门、三态门、OC门、CMOS传输门的逻辑符号、逻辑功能,熟悉各种门电路的特点和使用方法。 教学重点:

CMOS和TTL集成门电路重点是外部特性,即逻辑功能和电气特性。 教学难点:

CMOS和TTL集成门电路的电气特性

方法提示:

理论与实践相结合,加深对TTL集成门电路的电气特性的理解掌握。

第三章 组合逻辑电路

本章主要介绍组合逻辑电路的分析和设计方法以及常用典型组合电路的功能、应用。要求掌握组合电路的特点、基本分析和设计方法。掌握编码器、译码器、数值比较器、数据分配器、数据选择器、加法器等常用组合电路的功能、应用及实现方法。熟悉典型中规模集成组合逻辑器件的功能及用中规模集成器件实现组合逻辑函数的方法,了解组合电路中的竞争冒险。

教学重点:

组合逻辑电路的分析和设计方法,常用中规模集成器件的功能和应用。 教学难点:

组合逻辑电路的设计

方法提示:理论联系实际,加深理解记忆。

第四章 触发器

本章主要介绍各类触发器的逻辑功能及触发公式,它是构成时序电路的基本单元,要求熟悉RS、JK、D、T触发器的电路结构、工作原理,掌握RS、JK、D、T触发器的逻辑符号、逻辑功能表示方法、触发方式及触发器间的相互转换。 教学重点:

各类触发器的逻辑功能及触发方式。 教学难点:

触发器的触发方式。 方法提示:

多举例、多看、多练习,在第五章时序逻辑电路的教学中再强调。

第五章

时序逻辑电路

本章主要介绍时序电路的分析和设计方法,以及计数器等常用典型时序电路的功能及应用。要求:掌握时序电路的特点、分类、功能描述方法,时序电路的基本分析和设计方法。熟悉计算器、寄存器、移位寄存器、顺序脉冲发生器的功能、应用。掌握同步、异步计数器的工作原理,常用中规模集成计数器的功能、应用以及用中规模集成计数器构成N进制计数器的方法。

教学重点:

时序电路的分析和设计方法,计数器、寄存器的功能、分类,常用中规模集成计数器功能、应用。

教学难点:

时序逻辑电路的设计方法。

第六章

半导体存储器

本章介绍只读存储器(ROM)、随机存储器(RAM)以及存储器的扩展。 教学重点:

存储器的扩展 教学难点:

存储器内部结构、原理

第七章 数模、模数转换电路

本章主要介绍D/A转换器和A/D转换器的基本原理,几种典型D/A,A/D转换器电路。要求熟悉D/A,A/D转换器的基本原理及倒T型电阻网络D/A转换器,逐次逼近型、双积分型A/D转换器的基本工作原理。 教学重点:

典型D/A,,A/D转换器的基本工作原理。 教学难点:

典型D/A,A/D转换器的基本工作原理。

第八章 可编辑逻辑器件

本章介绍可编程逻辑器件(PLD)的基本结构及分类,PLA,PAL,GAL的基本原理特点及应用。

教学重点:

PLD的基本结构,PLA的基本原理、特点及应用。 教学难点: PLA、GAL的基本原理、特点及应用。

第九章 可编程逻辑器件的开发及应用

自学提高

第十章 数字电路CAD技术

自学提高

(四) 使用教材及参考书目:

1、 使用教材

《数字电路与逻辑设计》

子节涛等编著

国防科技大学出版社

2、 参考书目

《数字电子技术基础》

阎石主编

高等教育出版社 《数字电子技术基本教程》

宋樟林等主编著

《电子技术基础》(数字部分)

康华光主编

高等教育出版社

《操作系统》课程教学大纲

(一) 本课程地位、性质和任务

《操作系统》是计算机专业的必修主要课程之一,是研究如何有效地管理、使用计算机的一门学科,为《编译系统》、《计算机网络》、《分布式操作系统》等课程提供必要的基础知识。操作系统是计算机系统必须配置的一种系统软件,几乎所有的计算机系统都离不开操作系统,它在计算机系统中具有举足轻重的地位,它向下隐藏了计算机系统的具体细节,向上为计算机系统中其他软件提供一致的服务和使用界面,为用户提供一个良好的操作环境。 通过学习和研究操作系统,可以打破操作系统的神秘性,了解操作系统的内部结构。掌握操作系统的设计方法,熟悉操作系统的操作和使用。为锻炼学生开发系统的综合能力打下扎实的基础。

(二) 课程教学的基本要求

该课程采用讲授和上机实验相结合的教学方法,要求学生通过该课程的学习: 正确理解操作系统的概念,分类和形成与发展;特别是操作系统的基本特征和操作系统的功能结构;

正确理解系统的基本工作单位和进程的五大特征,熟悉掌握操作系统中进程管理的功能;

掌握操作系统存储管理有关的基本概念,深入理解几种常用存储管理的基本原理及实现方法;

理解操作系统设备管理的任务,掌握中断技术、通道技术和缓冲技术实现中央处理器与外部设备的并行工作,理解设备的调度和分配;

理解文件系统的功能和文件的安全性,掌握文件系统中文件的组织和存储; 正确理解作业的调度和控制、操作系统的接口;

所学的操作系统原理对现行主流操作系统进行实例分析;

(三) 课程主要内容及学时分配

1、 操作系统概论

知识点:操作系统的定义、视点及认识;操作系统的基本类型及其特点;操作系统的形成与发展;

重点:掌握操作系统的基本特征和操作系统的地位、作用和效果; 教学难点:虚拟机概念的讲解。

2、 处理器管理 知识点:中断、多道程序设计、并发程序设计、进程的概念;进程管理功能;进程的控制及调度;处理器基本工作单位的控制粒度;进程并发的含义;进程的同步机制;进程通信;死锁。

重点难点:处理器管理

3、 存储器管理

知识点:存储器管理的基本概念;连续存储空间存储管理的原理实现;非连续存储空间存储管理的原理及实现;虚拟存储空间的概念及实现。 重点难点:存储管理

4、 文件系统管理

知识点:文件及文件系统的概念;文件目录;文件的共享、保护及保密。 重点:文件的组织与存储 难点:文件操作的执行过程。

5、 设备管理

知识点:I/O操作与设备和概念;缓冲技术及PnP技术;中断处理及驱动程序。

重点:设备的分配和调度

难点:I/O控制方式及具有通道的I/O系统管理;虚拟设备、设备一致性、设备无关性的概念。

6、 作业管理

知识点:操作系统的结构模型;作业管理的概念;作业管理的功能;作业的状态,调度控制等问题;

重点:作业管理的功能;

难点:作业调度与控制。

7、 用户接口与操作环境

知识点:操作系统的用户接口的分类;命令接口,程序接口,环境接口的功能与实现; 重点难点:三种接口的功能。

8、操作系统的安全

知识点:操作系统安全性概念;安全机制;安全系统的设计; 重点:系统安全概念与机制; 难点:安全系统的设计。

(四) 使用教材与参考书目

1、 建议选用教材:刘乃琦,吴跃编著《计算机操作系统》 电子工业出版社。

2、 主要参考书:

史美林等编著《计算机操作系统教程》 清华大学出版社。

第三篇:数字逻辑课程设计-数字钟

安徽工业大学

《数字逻辑》课程报告

课程名称:数字钟

姓名: 专业班级: 指导教师:

2013/05/31

1.数字钟的组成及基本原理

图A 如图A所示,数字钟电路系统由主体电路和扩展电路两大部分组成。其中主体电路完成数字钟的基本功能,即:能准确计时,以数字形式显示小时、分秒的时间;小时计时以“24进1”,分和秒的计时以“60进1”;具有校正时和分的功能。扩展电路完成数字钟的扩展功能。

1.1系统的工作原理:

振荡器产生稳定的高频脉冲信号,作为数字中的时间基准,然后经分频器输出标准秒脉冲。秒计数器满60后向分计数器进位,分计数器满60后向小时计数器进位,小时计数器按照“24翻1”规律计数。计数器的输出分别经译码器送显示器显示,计时出现误差时可以进行校时、校分。各扩展电路必须在主体电路正常运行的情况下才能进行功能扩展。

2.各单元电路的基本原理

2.1振荡器电路

振荡器是数字钟的核心。振荡器的稳定度及频率的精确度决定了数字钟的准确程度。一般来说,振荡器的频率越高,计时精度越高,但耗电量增大且分频级数多。一般有如下几种方案构成振荡器电路:

方案1:如图1-1所示为电子手表集成电路中的晶体振荡器电路,常取晶振的频

率为32768Hz,因其内部有15级2分频集成电路,所以输出端正好可得

到1Hz的标准脉冲。该方案优点是走时准确及稳定,集成度高,所需芯

片少。 方案2:由集成电路定时器555与RC组成的多谐振器,电路图如图1-2。输出频

率为1000Hz。该方案的优点是起振容易,振荡周期调节范围广,缺点是

频率稳定性差,精度低,所以在本实验中不宜使用。

方案3:由集成逻辑门与RC组成的对称式多谐振荡器,可以输出频率为1MHz的

脉冲。该方案的优点是精度高,集成简单,所需元器件少。

由于此次设计所提供的芯片主要是74ls00且方案三精度较高,连线简单所以选用方案三。

2-1

2-2 2.2分频器电路

分频器的功能主要有两个:一是产生标准秒脉冲信号,二是提供功能扩展电路所需要的信号。选用中规模集成芯片74ls90可以完成上述功能,用6个级联即可以得到1Hz的脉冲,该方案原理简单,易于调试,且可以得到各种频率的脉冲,适合功能的扩展。因此此次设计选用该方案。

2.3计数器电路

分和秒都是模M=60的计数器,它们的个位都是十进制计数器,而十位则是六进制计数器。时计数器是一个“24翻1”的特殊进制计数器,即当数字钟的计时器运行到23时59分59秒时,秒的个位计数器再输入一个秒脉冲时,数字钟应自动显示为00时00分00秒,实现日常生活中习惯用的计时规律。 修改由于都不多于十进制,则可以用6个中规模集成电路计数器74ls90来实 现计数。该方案功能灵活,芯片统一便于调试与组装。

2.4校时校分电路

当数字钟接通电源或者计时出现误差时,均需要校正时间。对校时电路的要求是,在进行小时校正时不影响分和秒的计时,同理,在进行分校正时不影响时和秒的正常计数。其实现方法可以是将校时校分信号直接加到分、时计数器上,因此校时校分电路实际上是一个输入信号的转换开关。以下是几种方案:

方案1:简单的手动开关,如图1-4-1所示,正常工作时,s指向A,校时时只

需使s指向B。这种电路简单,但是开关的通断产生随机的机械抖动信

号,不易控制其稳定性。

方案2:如图1-4-2所示,用三个与非和一个可调电位实现信号的转换,当正常

工作时,电位器动滑头指向B,这时CP=C0;当需要校时,动滑头指向A,

此时CP等于秒脉冲,两个电容可以滤去滑动中产生的干扰信号。

方案3:三个与非门和基本RS触发器。基本RS触发器可以完全消除开关的机械

抖动,是最佳的一种校时校分电路。

1-4-1

2-4-2

2.5扩展电路

随着技术的发展,这种具有基本功能的数字钟并不能满足人们的要求,所以通常要根据不同人的需要进行功能的扩展,下面按照人们常用到的数字钟功能提供了几种扩展电路方案:

方案1:仿广播电台整点报时电路。要求是:每当数字钟计时到整点(或快到整

点时)发出音响,通常按照4低音1高音的顺序发出间断声响,一最后

一声高音结束的时刻为整点时刻。

方案2:定时控制电路。定时控制电路可以使数字钟在规定的时刻发出信号,或

驱动音响电路进行“闹时”;或控制某装置电源的接通或断开实现定时控

制。具体电路图见图1-6-1 方案3:报整点时数电路。功能是:每当数字钟计时到整点时发出声响,且几点

响几声。实现这一功能的电路要经过三个阶段的工作:分进位脉冲到来

时小时计数器加1;报时计数器应记录此时的小时数;报时计数器开始

做减法计数,每减一个脉冲,音频电路鸣叫一声,直到计数器的值为零。

具体电路如图1-6-2。此方案较为复杂。

由于材料有限,本次设计选用接法较为简单但功能实用的方案1

2-6-1 闹时电路

2-6-2 报整点时数电路

3、具体电路及参数计算

3.1振荡器

选用由集成逻辑门与RC组成的时钟脉冲源振荡器,可以输出频率为1MHz的脉冲。具体方案电路如下图3-1

3-1 对称式多谐振荡器

3.2分频器

本设计采用6片74ls90级联成610分频电路得到1Hz频率脉冲,且可以得到用于扩展电路所需要的各种频率。具体接线图如下图2-2

3-2 分频电路

3.3时分秒计数器

选用6片74ls90来实现计数功能,其中分个位、秒个位及时个位是十进制,分十位和秒十位是六进制,时十位只能显示0、

1、2三个数字。如图2-3-1。分计时和秒计时中当Q

1、Q2全为1时,R0

1、R02均为高,计时器清零实现60进制。如图2-3-2,时计数中当十位Q1和个位Q2均为1时,十位个位上R0

1、R02 全为高,计时器清零实现24进制。

3-3-1 二十四进制计数器

3-3-2 六十进制计数器

3.4译码显示电路

本设计使用BS201和CD4511配套使用实现译码显示功能。下图为一个一码显示的配套电路,本次设计中需使用6套来显示我们所需要观察到的数字。

译码显示电路

3.5校时校分电路

本次设计采用方案3,用三个与非门和基本RS触发器来实现校分/时功能。其中基本RS触发器可以完全消除开关的机械抖动。具体电路如图3-5

3-5 校时校分电路

3.6整点报时电路

仿电台整点报时要求在快到整点时按4低音1高音的顺序发出间断声响,一最后一声高音结束的时刻为整点时刻。设4声低音(采用50HZ分别发生在59分51秒、53秒、55秒、57秒、59秒,它们的持续时间为1S。由此可见,分十位和个位的计数器的状态分别为秒十位计数器的状态为ABCDM2QQQQ=0101,ABCDM1QQQQ=1001,秒十位计数器的状态为ABCDS2 QQQQ=0101。秒个位计数器DS1Q的状态可用来控制500HZ和50HZ 的音频。表2-6-1列出了秒各位计数器的状态,由表可得只有当CM2AM2QQ=11,

DM1AM1QQ=11,CS2AS2QQ=11及AS1Q=1时,音响电路才能工作。音响电路中采用射级输出端,推动8欧德蜂鸣器,三极管基极串接1K欧限流电阻,是为了防止电流过大损坏蜂鸣器,三极管选用高频功率管即可,本设计使用8085NPN型三极管,具有方向特性可以节约一个非门。整点报时的电路图如图3-6

3-6 整点报时电路

第四篇:数字逻辑课程设计任务书

一 教学目标

<一> 课程性质

数字电子技术课程设计是学生的专业基础课。课程设计是以实验为基础在教师指导下独立查阅资料、设计和调试特定功能的电子电路。课程设计对于提高学生的电子工程素质和科学实践能力非常重要,是由学生自行设计和自行调试的综合性训练。 <二> 课程目的

训练学生综合地运用所学的<模拟电子技术><数字电子技术>的基本知识,独立、完整地设计一定功能的电子电路,并培养设计软件应用和仿真等综合能力。

二、设计内容基本要求 〈一〉课程设计题目

1、多功能数字钟设计

要求:1)由振荡器输出稳定的高频脉冲信号作为时间基准,经分频器输出标准的秒脉冲。

2)秒计数器满60向分计数器进位,分计数器满60向小时计数器进位,小时计数器尽量按“12翻1”规律计数,计数器经译码器送到显示器。

3)计数出现误差可用校时电路进行校时、校分、校秒。 扩展要求:4)具有可整点报时与定时闹钟的功能。

2、数字式竞赛抢答器

基本要求:1)设计制作一个可容纳四组参赛的数字式抢答器,每组设置一个抢答按钮供抢答时使用,且电路具有第一抢答信号的鉴别和锁存功能。

2)在主持人将系统复位并发出抢答指令后,用数码管显示倒计时时间,且数字显示第一抢答组别且该组别对应指示灯亮,同时电路应具有自锁功能,使别的抢答开关不起作用。 3)对提前抢答和超时作答的组别鸣喇叭示警,并由组别电路显示出犯规组别。 扩展要求:4)设置计分(含加分与扣分)电路。

3、 交通灯控制电路

基本要求:1)

设计一个十字路口的交通灯控制电路,要求甲车道和乙车道两条交叉道路上的车辆交替运行,每次通行时间可在10至99秒范围内设定,时间应可分别设定并易于设置修改。

2)在绿灯转为红灯时,要求黄灯先亮5秒钟(包含在30至90秒范围内),才能变换运行车道;黄灯亮时,要求每秒闪亮一次。

3)甲、乙车道除了有红、黄、绿灯指示外,每一种灯亮的时间都用显示器进行显示(采用倒计时的方法),并同步设置人行横道红、绿灯指示。

扩展要求:

4)可模拟实际路口指示灯增加相应控制功能。

4、洗衣机控制电路设计

基本要求:1)

设计一个洗衣机控制器,控制洗衣机电机作如下运转:

2)用数码管显示洗涤的预置时间(分钟数),按倒计时方式对洗涤过程作计时显示,直至时间到而停机。洗涤定时时间在1-10分钟内用户任意设定。

3)正反转工作由发光二极管闪烁及数码管倒数指示。

4)洗涤过程在送入预置时间后即开始运转,洗涤结束发出提示音响信号。 扩展要求:

5)洗衣机具有预约功能,可根据设置时间延迟工作。 〈二〉设计步骤

设计一个电子电路系统时,首先必须明确系统的设计任务,自学与指定设计题目有关的参考资料;根据任务进行方案选择,然后对方案中的各部分进行单元的设计、参数计算和器件选择,最后将各部分连接在一起,画出一个符合设计要求的完整系统电路图。

1、设计任务分析

对系统的设计任务进行具体分析,充分了解系统的性能、指标内容及要求,以便明确系统应完成的任务。

2、方案论证

这一步的工作要求把系统的任务分配给若干个单元电路,并画出一个能表示各单元功能的整机原理框图。

方案选择的重要任务是根据掌握的知识和资料,针对系统提出的任务、要求和条件,完成系统的功能设计。在这个过程中要用于探索,勇于创新,力争做到设计方案合理、可靠、经济、功能齐全、技术先进,并且对方案要不断进行可行性和优缺点的分析,最后设计出一个完整框图。框图必须正确反映系统应完成的任务和各组成部分功能,清楚表示系统的基本组成和相互关系。

3、方案实现 1)单元电路设计

单元电路是整机的一部分,只有把各单元电路设计好才能提高整体设计水平。每个单元电路设计前都需明确本单元电路的任务,详细拟订出单元电路的性能指标,与前后级之间的关系,分析电路的组成形式。具体设计时,可以模仿成熟的先进电路,也可以进行创新或改进,但都必须保证性能要求。而且,不仅单元电路本身要设计合理,各单元电路间也要相互配合,注意各部分的输入信号、输出信号和控制信号的关系。

2)参数计算

为保证单元电路达到功能指标要求,就需要用电子技术知识对参数进行计算。例如,放大 电路中各阻值、放大倍数的计算;振荡器中电阻、电容、振荡频率等参数的计算。只有很好地理解电路的工作原理,正确利用计算公式,计算的参数才能满足设计要求。

3)器件选择

阻容元件的选择:电阻和电容种类很多,正确选择电阻和电容是很重要的。设计时要根据电路的要求选择性能和参数合适的阻容元件,并要注意功耗、容量、频率和耐压范围是否满足要求。

分立元件的选择和集成电路的选择:选择的分立元件或集成电路不仅要在功能和特性上实现设计方案,而且要满足功耗、电压、速度、价格等多方面的要求。

4) 在电脑上应用虚拟电子工作台(EWB或Multisim)进行设计、调试、仿真。 5)安装调试:

安装与调试过程应按照先局部后整机的原则,根据信号的流向逐块调试,使各功能块都要达到各自技术指标的要求,然后把它们连接起来进行统调和系统测试。

三、成绩评定的依据:

1、电路布局及安装焊接工艺(20%),如果不要求做实物电路的,根据仿真软件的设计电路布局评分。

2、验收实物电路功能及性能指标,并进行相应原理设计答辩。(45%)

3、设计报告的撰写(35%) 老师根据学生设计全过程的表现,验收情况以及设计报告书给出综合成绩(成绩分优、良、中、及格、不及格五级)。如果发现设计报告雷同,一律做不及格处理。

四、课程设计报告要求:

封面:按照广工大课程设计报告的封面要求,要求学院专业班别学号用全称并且统一格式。 正文内容包括: 1)设计题目 2)设计任务和要求 3)原理电路和程序设计:

(1)方案比较;(2)整体电路(标出原元件型号和参数、画出必要波形图);(3)单元电路设计;(4)说明电路工作原理;(5)元件选择。

对报告中的电路图要求

A原理图中元件电气图形符号符合国家标准;

B整体布局合理,注标规范、明确、美观,不产生歧义。 C列出完整的元件清单(标号、型号及大小、封装形式、数量) D图纸幅面为A4。

E 流程图的符号采用国际标准。

设计报告统一采用小四宋体,行距为1倍 4)电路和程序调试过程与结果:

对模拟电路应有理论设计数据、实测数据、仿真数据和误差分析,数字电路应有设计逻辑流程、波形图、时序图或真值表。

5)总结

总结作品的优点和不足的地方,以后可能的改进方案,通过这次课程设计的心得体会。 附录:完整的电路PCB图,完整的源程序名列表(不需要把源程序打印出来,作为电子文档提交)。

五、主要参考书目:

1、童诗白、华成英,《模拟电子技术基础》;

2、康华光,《电子技术基础》模拟、数字部分;

3、毕满清,《电子技术实验与课程设计》

第五篇:数字逻辑教学大纲

课程主任:执笔人: 吕强开课单位:信息工程学院编写日期: 2008-2课程编码:课程中文名称: 数字逻辑课程英文名称: Digital Logic

课程类别:专业基础课

开课对象: 软件工程专业本科 开课学期: 第4学期 学分:3 ;总学时: 48;理论课学时:48

先修课程: 电路基础、 模拟电子技术

基本教材:《现代数字逻辑》作者:马义忠 常蓬彬 关少颖编著 兰州大学出版社 200

2参 考 书:

【1】数字逻辑与计算机设计基础 刘真,蔡懿慈,毕才术

【2】数字系统逻辑设计曲兆瑞山东大学出版社

一、 课程的性质、目的和任务

《数字逻辑》是软件工程专业的专业基础课之一,是该专业本科生必修的主干课程。数字逻辑课程阐明了数字逻辑电路的基本概念和分析设计方法,以门电路构成的逻辑电路的“经典方法”作为基本技能训练,提高以全加器、译码器、数据选择器、计数器、寄存器以及存储 器等较复杂的逻辑器件来构成更复杂的逻辑电路的分析与设计的能力。

二、 课程的基本要求

本课程注重理论与实践相结合。在教学方法上,采用课堂讲授、课堂讨论、课后自学、上习题课等教学形式。要求学生熟悉数制、码制和逻辑代数,能以逻辑代数为工具,掌握对各类组合电路、同步时序电路、异步时序电路的基本逻辑单元分析和设计,了解存储器和可编程逻辑器件的性能和特点。

三、 课程的基本内容及学时分配

第一章 数制和码制(学时数:2)

1. 数制

十进制、二进制、八进制、十六进制和任意进制数制

2. 数制转换

二进制和八进制、二进制和十六进制、二进制和十进制。

3. 编码

原码、反码、补码、BCD码和字符代码。

教学要求

掌握数制,数制之间的转换,码制和编码

第二章 逻辑代数基础(学时数:6)

1. 逻辑代数基本概念

2. 逻辑代数基本定律

3. 逻辑函数的标准表达式和卡诺图

4. 逻辑函数的化简

教学要求

掌握逻辑代数基本定律和基本运算规律,逻辑函数的各种表达式,利用逻辑代数和卡诺图对逻辑函数进行化简。

第三章 TTL集成门电路(学时数:6)

1. TTL与非门

2. TTL集电极开路与非门

3. 三态输出与非门

4. 其他类型的TTL门电路

教学要求

了解TTL门电路的电路结构、工作原理和外部特性,掌握门电路的逻辑功能和外部特性。

第四章 组合逻辑电路(学时数:9)

1. 组合逻辑电路的分析方法

编码器、译码器数据选择器和分配器、奇偶检测电路、比较器、加法器。

教学要求

掌握组合逻辑电路的分析方法。

第五章 集成触发器(学时数:6)

1. 基本R-S触发器

2. 电位触发的触发器

3. 主从触发器

4. 边沿触发器

教学要求

掌握触发器的基本类型及状态描写,触发器的简单应用。

第六章 同步时序电路(学时数:6)

1. 时序电路的机构与描述

2. 同步时序电路的分析方法

3. 同步时序电路的设计方法

教学要求

掌握同步时序电路的分析和设计方法。

第七章 异步时序电路(学时数:6)

1. 脉冲异步时序电路的分析

2. 脉冲异步时序电路的设计

教学要求

掌握脉冲异步时序电路的特点和分析方法。

第八章 存储器和可编程逻辑器件,VHDL语言描述数字系统简介(学时数:7)

1. MOS门电路

2. 存储器

ROM、RAM

3. 可编程逻辑器件

PLD、PAL、GAL

教学要求

掌握可编程逻辑器件的结构和编程过程。

3.VHDL语言描述数字系统简介

四、 课内实验安排

见实验大纲

五、习题及课外教学要求

习题课以例题分析为主,并适当安排开阔思路及综合性的练习及讨论。学时已包括在前述理论教学课时分配中。每次课堂授课都要有相应的课外作业,其内容据上课内容而定,主要是目的是巩固课堂内容,加深对所学东西的理解。

六、考核方式及成绩评定

课外作业,平时测验占30%;期末闭卷考试占70%。

本文来自 99学术网(www.99xueshu.com),转载请保留网址和出处

上一篇:数字电视机房管理制度下一篇:素质教育随想录