数字逻辑教学总结

2022-08-01

总结是在项目、工作、时期后,对整个过程进行反思,以分析出有参考作用的报告,用于为以后工作的实施,提供明确的参考。所以,编写一份总结十分重要,以下是小编整理的关于《数字逻辑教学总结》,供大家参考,更多范文可通过本站顶部搜索您需要的内容。

第一篇:数字逻辑教学总结

数字逻辑教学大纲

课程主任:执笔人: 吕强开课单位:信息工程学院编写日期: 2008-2课程编码:课程中文名称: 数字逻辑课程英文名称: Digital Logic

课程类别:专业基础课

开课对象: 软件工程专业本科 开课学期: 第4学期 学分:3 ;总学时: 48;理论课学时:48

先修课程: 电路基础、 模拟电子技术

基本教材:《现代数字逻辑》作者:马义忠 常蓬彬 关少颖编著 兰州大学出版社 200

2参 考 书:

【1】数字逻辑与计算机设计基础 刘真,蔡懿慈,毕才术

【2】数字系统逻辑设计曲兆瑞山东大学出版社

一、 课程的性质、目的和任务

《数字逻辑》是软件工程专业的专业基础课之一,是该专业本科生必修的主干课程。数字逻辑课程阐明了数字逻辑电路的基本概念和分析设计方法,以门电路构成的逻辑电路的“经典方法”作为基本技能训练,提高以全加器、译码器、数据选择器、计数器、寄存器以及存储 器等较复杂的逻辑器件来构成更复杂的逻辑电路的分析与设计的能力。

二、 课程的基本要求

本课程注重理论与实践相结合。在教学方法上,采用课堂讲授、课堂讨论、课后自学、上习题课等教学形式。要求学生熟悉数制、码制和逻辑代数,能以逻辑代数为工具,掌握对各类组合电路、同步时序电路、异步时序电路的基本逻辑单元分析和设计,了解存储器和可编程逻辑器件的性能和特点。

三、 课程的基本内容及学时分配

第一章 数制和码制(学时数:2)

1. 数制

十进制、二进制、八进制、十六进制和任意进制数制

2. 数制转换

二进制和八进制、二进制和十六进制、二进制和十进制。

3. 编码

原码、反码、补码、BCD码和字符代码。

教学要求

掌握数制,数制之间的转换,码制和编码

第二章 逻辑代数基础(学时数:6)

1. 逻辑代数基本概念

2. 逻辑代数基本定律

3. 逻辑函数的标准表达式和卡诺图

4. 逻辑函数的化简

教学要求

掌握逻辑代数基本定律和基本运算规律,逻辑函数的各种表达式,利用逻辑代数和卡诺图对逻辑函数进行化简。

第三章 TTL集成门电路(学时数:6)

1. TTL与非门

2. TTL集电极开路与非门

3. 三态输出与非门

4. 其他类型的TTL门电路

教学要求

了解TTL门电路的电路结构、工作原理和外部特性,掌握门电路的逻辑功能和外部特性。

第四章 组合逻辑电路(学时数:9)

1. 组合逻辑电路的分析方法

编码器、译码器数据选择器和分配器、奇偶检测电路、比较器、加法器。

教学要求

掌握组合逻辑电路的分析方法。

第五章 集成触发器(学时数:6)

1. 基本R-S触发器

2. 电位触发的触发器

3. 主从触发器

4. 边沿触发器

教学要求

掌握触发器的基本类型及状态描写,触发器的简单应用。

第六章 同步时序电路(学时数:6)

1. 时序电路的机构与描述

2. 同步时序电路的分析方法

3. 同步时序电路的设计方法

教学要求

掌握同步时序电路的分析和设计方法。

第七章 异步时序电路(学时数:6)

1. 脉冲异步时序电路的分析

2. 脉冲异步时序电路的设计

教学要求

掌握脉冲异步时序电路的特点和分析方法。

第八章 存储器和可编程逻辑器件,VHDL语言描述数字系统简介(学时数:7)

1. MOS门电路

2. 存储器

ROM、RAM

3. 可编程逻辑器件

PLD、PAL、GAL

教学要求

掌握可编程逻辑器件的结构和编程过程。

3.VHDL语言描述数字系统简介

四、 课内实验安排

见实验大纲

五、习题及课外教学要求

习题课以例题分析为主,并适当安排开阔思路及综合性的练习及讨论。学时已包括在前述理论教学课时分配中。每次课堂授课都要有相应的课外作业,其内容据上课内容而定,主要是目的是巩固课堂内容,加深对所学东西的理解。

六、考核方式及成绩评定

课外作业,平时测验占30%;期末闭卷考试占70%。

第二篇:数字逻辑与数字系统教学大纲(理论)李冶

《数字逻辑与数字系统》课程教学大纲

( Digital logic and digital systems)

一、课程说明

课程编码:03220040课程总学时(理论总学时/实践总学时)(72/18) 周学时(理论学时/实践学时)×(4/1)学分4开课学期

31.课程性质:

专业必修课

2.适用专业与学时分配:

本课程是电子类各专业的一门主要技术基础课.教 学 内 容 与 时 间 安 排 表

3.课程教学目的与要求:

其目的是使学生掌握脉冲电路和数字电路的工作原理、分析方法和设计方法,培养学生数字技术的思维习惯,使学生具有一定的实践技能和应用能力。

4.本门课程与其它课程关系:

先修课程:《模拟电路基础》、《离散数学》。

后续课程:《组成原理》、《可编程逻辑器件与VHDL》等。

5.推荐教材及参考书:

教材:数字电子技术基础杨颂华 主编 西安电子科技大学出版社

参考书:数字电子技术高吉祥 主编 电子工业出版社

数字电子技术基础阎石主编 高等教育出版社

6.课程教学方法与手段:

传统教学模式结合多媒体课件,理论讲授结合实验教学。

7.课程考试方法与要求:

课程根据本大纲要求命题,采用闭卷方式统一考试,重点考核基本概念,基本知识,

基本技能。

8.实践教学内容安排:

实验1 常用仪器设备的使用及集成门电路测试(3学时)

实验2 组合逻辑(1)(3学时)

实验3 组合逻辑(2)(3学时)

实验3 时序逻辑(1)(3学时)

实验4 时序逻辑(2)(3学时)

实验5EDA实验(3学时)

二、教学内容纲要

第一章数字逻辑基础(12学时)

1. 教学目的与要求

掌握不同计数制及它们之间的转化;掌握常用的编码;掌握逻辑代数中的三种基本运算;

熟练掌握逻辑代数中的基本公式、常用公式和基本定理;掌握逻辑函数的公式化化简;熟练

掌握逻辑函数的卡诺图化简;掌握具有无关项的逻辑函数及其化简。

2. 主要内容

第一节数制和码制4学时

第二节逻辑代数4学时

第三节逻辑函数的代数化简法2学时

第四节逻辑函数的卡诺图化简2学时

第二章逻辑门电路(6学时)

1.教学目的与要求

了解半导体二极管和三极管的开关特性;掌握最简单的与、或、非门电路;掌握TTL

门电路;了解其他类型的双极性数字集成电路;掌握CMOS门电路;了解其他类型的 MOS集

成电路;掌握TTL电路与CMOS电路的接口。

2.主要内容

第一节数字集成电路的分类1学时

第二节TTL集成逻辑门2学时

第三节MOS集成逻辑门2学时

第四节集成门电路使用中的实际问题1学时

第三章组合逻辑电路(8学时)

1.教学目的与要求

熟练掌握组合逻辑电路分析方法和设计方法;熟练掌握常用的组合逻辑电路;掌握组合

逻辑电路中的竞争-冒险现象。

2.主要内容

第一节组合逻辑分析2学时

第二节组合逻辑设计2学时

第三节组合逻辑中的竞争冒险2学时

第四节常用MSI组合逻辑器件及应用2学时

第四章触发器(8学时)

1. 教学目的与要求

掌握触发器电路结构与动作特点;熟练掌握触发器逻辑功能及其描述方法;熟练掌握

触发器的转换。

2. 主要内容

第一节基本RS触发器2学时

第二节时钟控制的触发器2学时

第三节主从触发器2学时

第四节不同类型触发器之间的转换2学时

第五章时序逻辑电路(14学时)

1. 教学目的与要求

熟练掌握时序逻辑电路的分析方法;熟练掌握常用的时序逻辑电路;熟练掌握时序逻 辑电路的设计方法。

2. 主要内容

第一节时序电路概述2学时

第二节同步时序逻辑电路的分析和设计4学时

第三节异步时序逻辑电路的分析和设计2学时

第四节常用集成时序器件及应用6学时

第六章脉冲信号的产生与整形(6学时)

1. 教学目的与要求

掌握施密特触发器、单稳态触发器、多谐振荡器、555定时器及其应用。

2. 主要内容

第一节概述1学时

第二节555定时器及其应用2学时

第三节集成单稳态触发器2学时

第四节集成逻辑门构成的脉冲电路1学时

第七章半导体存储器(6学时)

1.教学目的与要求

掌握只读存储器(ROM)、随机存储器(RAM);熟练掌握存储器容量的扩展;熟练掌 握用存储器实现组合逻辑函数。

2.主要内容

第一节只读存储器1学时

第二节随机存储器1学时

第三节存储器容量的扩展2学时

第四节用存储器实现组合逻辑函数2学时

第八章可编程逻辑器件(6学时)

1.教学目的与要求

掌握可编程阵列逻辑(PAL);掌握通用阵列逻辑(GAL);掌握现场可编程门阵列(FPGA)。

2.主要内容

第一节可编程逻辑器件的基本结构和电路表示方法1学时

第二节可编程阵列逻辑(PAL)2学时

第三节可编程通用阵列逻辑(Gal)2学时

第四节现场编程门阵列(FPGA)1学时

第九章模数转换和数模转换(6学时)

1. 教学目的与要求

熟练掌握D/A转换器、A/D转换器。

2.主要内容

第一节D/A转换器4学时

第二节A/D转换器2学时

撰写人:李冶审定人:院(系)主管领导:

学院盖章:年月日

第三篇:数字逻辑电路学习总结

号:

名:

院:

业:

数字逻辑电路学习总结

经过一学期的学习,我对数字逻辑电路这门课程总结如下: 一:数字逻辑电路绪论及基础

1.数字信号与模拟信号的区别(数值和时间的连续性与不连续性) 2.数字电路特点:电路结构简单,便于集成化;工作可靠,抗干扰能力强;信息便于长期保存和加密;产品系列全,通用性强,成本低;可进行数字运算和逻辑运算。

3.数制转换(二进制、八进制、十六进制、8421BCD码)

十~二:右→左,每三位构成一位八进制,不够补0

二~八:右←左,每一位构成三位二进制

八~二:右→左,每四位构成一位十六进制,不够补0

十六~二:右 →左,每一位构成一位二进制

十~8421BCD:每一位组成8421BCD码 4.二进制运算(0+0=0,0+1=1,1+1=1 0)

5.基本逻辑门(与门、或门、非门、与非门、或非门、异或、同或)

与门:F=ABC

或门:F=A+B+C

非门:F|

与非门:(AB)| 或非门:F=(A+B)| 异或门:F=A|B+AB|=A(+)B 同或门:F=AB+A|B|=A(*)B 6.逻辑代数基本公式及定理

7.最大项与最小项(为互补关系) 8.逻辑函数化简(代数法和卡诺图法) 卡诺图包围圈尽量大,个数尽量小,要全部包围,包含2^n个方格

二:组合逻辑电路

1.组合逻辑电路的分析与设计

任一时刻的输出只取决于同一时刻输入状态的组合,而与电路原有的状态无关的电路

分析:写出表达式,列出真值表,根据化简函数式说明逻辑功能 设计:列出真值表,写出逻辑函数,化简,画逻辑图 2.半加器与全加器的区别(考虑是否进位)

3.编码器(二~十进制编码器P120、优先编码器P134) 8-3优先编码器

10-4优先译码器

4.译码器(二进制编码器P140、二至十进制译码器P143) 3-8译码器

5.数据选择器

4选1数据选择器 8选1数据选择权

三:触发器

1. 触发器 逻辑功能可分:

RS触发器 D触发器 JK触发器 T触发器 T’触发器 触发方式可分:

电平触发器 边沿触发器 主从触发器 电路结构可分:

基本RS触发器 同步触发器 维持阻塞触发器 主从触发器 边沿触发器 2. 触发器的转换

公式法和图形法(了解触发器的逻辑符号,对比表达式的特性,画出逻辑图)

说明:真值表

表达式

约束条件

CP脉冲有效区

实现的功能

各触发器的转换波形图的画法 四:时序逻辑电路

1.同步时序逻辑电路的分析与设计

分析:确定电路组成→写出输出函数和激励函数的表达式→电路的次态方程→作状态表和状态图→做出波形图→功能描述→检查电路是否能自启动

设计:确定输入、输出及电路状态来写出原始状态表和原始状态图化简原始状态表(可用卡诺图化简)→进行状态赋值(写出真值表)→选择触发器

2.异步时序逻辑电路分析

写出激励函数表达式→写出电路的次态方程组→作状态表→做时序图,说明电路功能

3.计数器

同步计数器:同CP

异步计数器:不同CP 写出时序方程、输出方程、驱动方程→次态方程→状态计算,列出状态表→画出状态图

功能描述:其实数字电路在我们生活中有很大的作用,在人们的日常生活中,常用的计算机,电视机,音响系统,视频记录设备,长途电话等电子设备或电子系统,无不采用数字电路或数字系统数字电子技术的应用。 关于数制和码制学习,主要涉及进制之间的变换,转换等。当然也强调了二进制的各种运算,以及源码反码补码运用等。几种常用的编码,我们主要学的是BCD码,还有余3码。

如果说关于数制和码制学习还看不出和数字电路有何关系,接下来的逻辑代数基础这章更加靠近我们之后的数字电路学习了,对于数制仅仅只是工具。各种真值表,门电路,逻辑方程等等都全面。本章也有很多需要去记忆的公式定理,比方说基本公式,常用公式以及逻辑代数的基本定理等等。

逻辑函数的表示方法有这几种:

1、逻辑真值表

2、逻辑函数式

3、逻辑图

4、波形图,这些表示方法之间是可以互相转换的。

逻辑函数的两种标准形式,最小项和最大项,我们用最小项用的是最多。由于随着课程学习的深入我们遇到的逻辑函数表达式越来越复杂,自然需要化简来实现公式的简化,,电路的简化,于是我们学习到了卡诺图化简法,用卡诺图化简法大大提高了我们化简的效率和准确率。

在一些实际电路中我们并不需要一些变量,这些变量或许会影响我的结果或者也不影响,这些变量统称为无关项,在函数表达式中我们称之为约束项和任意项。对于无关变量的作用,通常用于化简以及之后的消除竞争——冒险现象等。

我们有了逻辑代数这一直接数字电路基础,之后的组合逻辑电路和时序逻辑电路的分析和设计,便更加明确和逻辑。

组合逻辑电路学习我们才真正意义上开始接触逻辑电路。组合逻辑电路的逻辑功能是任意时刻的输出仅仅决定于该时刻的输入;电路结构则是不含有记忆器件。逻辑功能的描述和之前学习表示方法一致,真值表,逻辑方程,逻辑图和波形图。对于组合逻辑电路分析方法则是:①逐条写出电路输入到输出的逻辑函数式;②用公式化简法和卡诺图化简法让函数式化简;③为了更加直观可以转换为真值表形式;④最后分析结果。组合逻辑的设计方法步骤:先逻辑抽象,再写逻辑函数式,然后选择器件类型,转化适当形式。

主要的基本组合逻辑电路不多,比如:普通编码器,优化编码器,译码器,显示译码器,数据选择器,加法器(全加器,半加器,一位加法器,多位加法器,多元加法器,超前进位加法器),数值比较器等等。这些都是我们很常用而且很基本的组合逻辑电路。

对于组合逻辑电路中,竞争——冒险现象可以通过接入滤波电容,引入选通脉冲和修改逻辑设计来实现消除竞争冒险现象。

第四篇:《数字电路与逻辑设计》课程教学大纲

先修课程:高等数学、普通物理、电路与电子学

(一) 课程地位、性质和任务

《数字电路与逻辑设计》是计算机科学与技术专业的主干课程,是一门专业技术基础课。它不仅为《计算机组成原理与汇编程序设计》、《微机接口技术》、《计算机系统结构》、《数据通信与计算机网络》等后续课程提供必要的基础知识,而且是一门理论与实践结合密切的硬件基础课程。

(二) 课程教学基本要求

本课程是计算机科学与技术专业的一门专业基础课程,通过本课程的学习,使学生熟悉数字电路的基础理论知识,理解基本数字逻辑电路的工作原理,掌握数字逻辑电路的基本分析和设计方法,具有应用数字逻辑电路,初步解决数字逻辑问题的能力,为学习计算机硬件打下扎实的基础。

(三) 课程主要内容及学时分配

第一章 逻辑代数基础

逻辑代数是分析和设计数字电路的数学工具,本章主要介绍逻辑代数的公式、定理及逻辑函数的化简方法,要求掌握常用进制及其转换,基本和常用逻辑运算,逻辑代数的公式、定理,逻辑函数的公式、图形化简化,逻辑函数的五种表示方法及相互之间的转换。 教学重点:

逻辑代数的公式、定理,逻辑函数的公式、图形化简法。 教学难点:

公式、定理、规则的正确应用,逻辑函数化简的准确性。 方法提示:

通过多举例子,多做练习以提高对公式应用的熟练性。

第二章 逻辑门电路

集成逻辑门是构成数字电路的基本单元,本章主要介绍MOS和TTL集成逻辑门的逻辑功能的电气特性。要求掌握高、低电平与正、负逻辑的概念,二极管、三极管、MOS管的开关特性,熟悉二极管与门和或门,三极管非门的电路结构及工作原理,掌握其电气特性和功能。掌握与门、或门、非门、与非门、或非门、与或非门、异或门、三态门、OC门、CMOS传输门的逻辑符号、逻辑功能,熟悉各种门电路的特点和使用方法。 教学重点:

CMOS和TTL集成门电路重点是外部特性,即逻辑功能和电气特性。 教学难点:

CMOS和TTL集成门电路的电气特性

方法提示:

理论与实践相结合,加深对TTL集成门电路的电气特性的理解掌握。

第三章 组合逻辑电路

本章主要介绍组合逻辑电路的分析和设计方法以及常用典型组合电路的功能、应用。要求掌握组合电路的特点、基本分析和设计方法。掌握编码器、译码器、数值比较器、数据分配器、数据选择器、加法器等常用组合电路的功能、应用及实现方法。熟悉典型中规模集成组合逻辑器件的功能及用中规模集成器件实现组合逻辑函数的方法,了解组合电路中的竞争冒险。

教学重点:

组合逻辑电路的分析和设计方法,常用中规模集成器件的功能和应用。 教学难点:

组合逻辑电路的设计

方法提示:理论联系实际,加深理解记忆。

第四章 触发器

本章主要介绍各类触发器的逻辑功能及触发公式,它是构成时序电路的基本单元,要求熟悉RS、JK、D、T触发器的电路结构、工作原理,掌握RS、JK、D、T触发器的逻辑符号、逻辑功能表示方法、触发方式及触发器间的相互转换。 教学重点:

各类触发器的逻辑功能及触发方式。 教学难点:

触发器的触发方式。 方法提示:

多举例、多看、多练习,在第五章时序逻辑电路的教学中再强调。

第五章

时序逻辑电路

本章主要介绍时序电路的分析和设计方法,以及计数器等常用典型时序电路的功能及应用。要求:掌握时序电路的特点、分类、功能描述方法,时序电路的基本分析和设计方法。熟悉计算器、寄存器、移位寄存器、顺序脉冲发生器的功能、应用。掌握同步、异步计数器的工作原理,常用中规模集成计数器的功能、应用以及用中规模集成计数器构成N进制计数器的方法。

教学重点:

时序电路的分析和设计方法,计数器、寄存器的功能、分类,常用中规模集成计数器功能、应用。

教学难点:

时序逻辑电路的设计方法。

第六章

半导体存储器

本章介绍只读存储器(ROM)、随机存储器(RAM)以及存储器的扩展。 教学重点:

存储器的扩展 教学难点:

存储器内部结构、原理

第七章 数模、模数转换电路

本章主要介绍D/A转换器和A/D转换器的基本原理,几种典型D/A,A/D转换器电路。要求熟悉D/A,A/D转换器的基本原理及倒T型电阻网络D/A转换器,逐次逼近型、双积分型A/D转换器的基本工作原理。 教学重点:

典型D/A,,A/D转换器的基本工作原理。 教学难点:

典型D/A,A/D转换器的基本工作原理。

第八章 可编辑逻辑器件

本章介绍可编程逻辑器件(PLD)的基本结构及分类,PLA,PAL,GAL的基本原理特点及应用。

教学重点:

PLD的基本结构,PLA的基本原理、特点及应用。 教学难点: PLA、GAL的基本原理、特点及应用。

第九章 可编程逻辑器件的开发及应用

自学提高

第十章 数字电路CAD技术

自学提高

(四) 使用教材及参考书目:

1、 使用教材

《数字电路与逻辑设计》

子节涛等编著

国防科技大学出版社

2、 参考书目

《数字电子技术基础》

阎石主编

高等教育出版社 《数字电子技术基本教程》

宋樟林等主编著

《电子技术基础》(数字部分)

康华光主编

高等教育出版社

《操作系统》课程教学大纲

(一) 本课程地位、性质和任务

《操作系统》是计算机专业的必修主要课程之一,是研究如何有效地管理、使用计算机的一门学科,为《编译系统》、《计算机网络》、《分布式操作系统》等课程提供必要的基础知识。操作系统是计算机系统必须配置的一种系统软件,几乎所有的计算机系统都离不开操作系统,它在计算机系统中具有举足轻重的地位,它向下隐藏了计算机系统的具体细节,向上为计算机系统中其他软件提供一致的服务和使用界面,为用户提供一个良好的操作环境。 通过学习和研究操作系统,可以打破操作系统的神秘性,了解操作系统的内部结构。掌握操作系统的设计方法,熟悉操作系统的操作和使用。为锻炼学生开发系统的综合能力打下扎实的基础。

(二) 课程教学的基本要求

该课程采用讲授和上机实验相结合的教学方法,要求学生通过该课程的学习: 正确理解操作系统的概念,分类和形成与发展;特别是操作系统的基本特征和操作系统的功能结构;

正确理解系统的基本工作单位和进程的五大特征,熟悉掌握操作系统中进程管理的功能;

掌握操作系统存储管理有关的基本概念,深入理解几种常用存储管理的基本原理及实现方法;

理解操作系统设备管理的任务,掌握中断技术、通道技术和缓冲技术实现中央处理器与外部设备的并行工作,理解设备的调度和分配;

理解文件系统的功能和文件的安全性,掌握文件系统中文件的组织和存储; 正确理解作业的调度和控制、操作系统的接口;

所学的操作系统原理对现行主流操作系统进行实例分析;

(三) 课程主要内容及学时分配

1、 操作系统概论

知识点:操作系统的定义、视点及认识;操作系统的基本类型及其特点;操作系统的形成与发展;

重点:掌握操作系统的基本特征和操作系统的地位、作用和效果; 教学难点:虚拟机概念的讲解。

2、 处理器管理 知识点:中断、多道程序设计、并发程序设计、进程的概念;进程管理功能;进程的控制及调度;处理器基本工作单位的控制粒度;进程并发的含义;进程的同步机制;进程通信;死锁。

重点难点:处理器管理

3、 存储器管理

知识点:存储器管理的基本概念;连续存储空间存储管理的原理实现;非连续存储空间存储管理的原理及实现;虚拟存储空间的概念及实现。 重点难点:存储管理

4、 文件系统管理

知识点:文件及文件系统的概念;文件目录;文件的共享、保护及保密。 重点:文件的组织与存储 难点:文件操作的执行过程。

5、 设备管理

知识点:I/O操作与设备和概念;缓冲技术及PnP技术;中断处理及驱动程序。

重点:设备的分配和调度

难点:I/O控制方式及具有通道的I/O系统管理;虚拟设备、设备一致性、设备无关性的概念。

6、 作业管理

知识点:操作系统的结构模型;作业管理的概念;作业管理的功能;作业的状态,调度控制等问题;

重点:作业管理的功能;

难点:作业调度与控制。

7、 用户接口与操作环境

知识点:操作系统的用户接口的分类;命令接口,程序接口,环境接口的功能与实现; 重点难点:三种接口的功能。

8、操作系统的安全

知识点:操作系统安全性概念;安全机制;安全系统的设计; 重点:系统安全概念与机制; 难点:安全系统的设计。

(四) 使用教材与参考书目

1、 建议选用教材:刘乃琦,吴跃编著《计算机操作系统》 电子工业出版社。

2、 主要参考书:

史美林等编著《计算机操作系统教程》 清华大学出版社。

第五篇:数字逻辑课程设计-数字钟

安徽工业大学

《数字逻辑》课程报告

课程名称:数字钟

姓名: 专业班级: 指导教师:

2013/05/31

1.数字钟的组成及基本原理

图A 如图A所示,数字钟电路系统由主体电路和扩展电路两大部分组成。其中主体电路完成数字钟的基本功能,即:能准确计时,以数字形式显示小时、分秒的时间;小时计时以“24进1”,分和秒的计时以“60进1”;具有校正时和分的功能。扩展电路完成数字钟的扩展功能。

1.1系统的工作原理:

振荡器产生稳定的高频脉冲信号,作为数字中的时间基准,然后经分频器输出标准秒脉冲。秒计数器满60后向分计数器进位,分计数器满60后向小时计数器进位,小时计数器按照“24翻1”规律计数。计数器的输出分别经译码器送显示器显示,计时出现误差时可以进行校时、校分。各扩展电路必须在主体电路正常运行的情况下才能进行功能扩展。

2.各单元电路的基本原理

2.1振荡器电路

振荡器是数字钟的核心。振荡器的稳定度及频率的精确度决定了数字钟的准确程度。一般来说,振荡器的频率越高,计时精度越高,但耗电量增大且分频级数多。一般有如下几种方案构成振荡器电路:

方案1:如图1-1所示为电子手表集成电路中的晶体振荡器电路,常取晶振的频

率为32768Hz,因其内部有15级2分频集成电路,所以输出端正好可得

到1Hz的标准脉冲。该方案优点是走时准确及稳定,集成度高,所需芯

片少。 方案2:由集成电路定时器555与RC组成的多谐振器,电路图如图1-2。输出频

率为1000Hz。该方案的优点是起振容易,振荡周期调节范围广,缺点是

频率稳定性差,精度低,所以在本实验中不宜使用。

方案3:由集成逻辑门与RC组成的对称式多谐振荡器,可以输出频率为1MHz的

脉冲。该方案的优点是精度高,集成简单,所需元器件少。

由于此次设计所提供的芯片主要是74ls00且方案三精度较高,连线简单所以选用方案三。

2-1

2-2 2.2分频器电路

分频器的功能主要有两个:一是产生标准秒脉冲信号,二是提供功能扩展电路所需要的信号。选用中规模集成芯片74ls90可以完成上述功能,用6个级联即可以得到1Hz的脉冲,该方案原理简单,易于调试,且可以得到各种频率的脉冲,适合功能的扩展。因此此次设计选用该方案。

2.3计数器电路

分和秒都是模M=60的计数器,它们的个位都是十进制计数器,而十位则是六进制计数器。时计数器是一个“24翻1”的特殊进制计数器,即当数字钟的计时器运行到23时59分59秒时,秒的个位计数器再输入一个秒脉冲时,数字钟应自动显示为00时00分00秒,实现日常生活中习惯用的计时规律。 修改由于都不多于十进制,则可以用6个中规模集成电路计数器74ls90来实 现计数。该方案功能灵活,芯片统一便于调试与组装。

2.4校时校分电路

当数字钟接通电源或者计时出现误差时,均需要校正时间。对校时电路的要求是,在进行小时校正时不影响分和秒的计时,同理,在进行分校正时不影响时和秒的正常计数。其实现方法可以是将校时校分信号直接加到分、时计数器上,因此校时校分电路实际上是一个输入信号的转换开关。以下是几种方案:

方案1:简单的手动开关,如图1-4-1所示,正常工作时,s指向A,校时时只

需使s指向B。这种电路简单,但是开关的通断产生随机的机械抖动信

号,不易控制其稳定性。

方案2:如图1-4-2所示,用三个与非和一个可调电位实现信号的转换,当正常

工作时,电位器动滑头指向B,这时CP=C0;当需要校时,动滑头指向A,

此时CP等于秒脉冲,两个电容可以滤去滑动中产生的干扰信号。

方案3:三个与非门和基本RS触发器。基本RS触发器可以完全消除开关的机械

抖动,是最佳的一种校时校分电路。

1-4-1

2-4-2

2.5扩展电路

随着技术的发展,这种具有基本功能的数字钟并不能满足人们的要求,所以通常要根据不同人的需要进行功能的扩展,下面按照人们常用到的数字钟功能提供了几种扩展电路方案:

方案1:仿广播电台整点报时电路。要求是:每当数字钟计时到整点(或快到整

点时)发出音响,通常按照4低音1高音的顺序发出间断声响,一最后

一声高音结束的时刻为整点时刻。

方案2:定时控制电路。定时控制电路可以使数字钟在规定的时刻发出信号,或

驱动音响电路进行“闹时”;或控制某装置电源的接通或断开实现定时控

制。具体电路图见图1-6-1 方案3:报整点时数电路。功能是:每当数字钟计时到整点时发出声响,且几点

响几声。实现这一功能的电路要经过三个阶段的工作:分进位脉冲到来

时小时计数器加1;报时计数器应记录此时的小时数;报时计数器开始

做减法计数,每减一个脉冲,音频电路鸣叫一声,直到计数器的值为零。

具体电路如图1-6-2。此方案较为复杂。

由于材料有限,本次设计选用接法较为简单但功能实用的方案1

2-6-1 闹时电路

2-6-2 报整点时数电路

3、具体电路及参数计算

3.1振荡器

选用由集成逻辑门与RC组成的时钟脉冲源振荡器,可以输出频率为1MHz的脉冲。具体方案电路如下图3-1

3-1 对称式多谐振荡器

3.2分频器

本设计采用6片74ls90级联成610分频电路得到1Hz频率脉冲,且可以得到用于扩展电路所需要的各种频率。具体接线图如下图2-2

3-2 分频电路

3.3时分秒计数器

选用6片74ls90来实现计数功能,其中分个位、秒个位及时个位是十进制,分十位和秒十位是六进制,时十位只能显示0、

1、2三个数字。如图2-3-1。分计时和秒计时中当Q

1、Q2全为1时,R0

1、R02均为高,计时器清零实现60进制。如图2-3-2,时计数中当十位Q1和个位Q2均为1时,十位个位上R0

1、R02 全为高,计时器清零实现24进制。

3-3-1 二十四进制计数器

3-3-2 六十进制计数器

3.4译码显示电路

本设计使用BS201和CD4511配套使用实现译码显示功能。下图为一个一码显示的配套电路,本次设计中需使用6套来显示我们所需要观察到的数字。

译码显示电路

3.5校时校分电路

本次设计采用方案3,用三个与非门和基本RS触发器来实现校分/时功能。其中基本RS触发器可以完全消除开关的机械抖动。具体电路如图3-5

3-5 校时校分电路

3.6整点报时电路

仿电台整点报时要求在快到整点时按4低音1高音的顺序发出间断声响,一最后一声高音结束的时刻为整点时刻。设4声低音(采用50HZ分别发生在59分51秒、53秒、55秒、57秒、59秒,它们的持续时间为1S。由此可见,分十位和个位的计数器的状态分别为秒十位计数器的状态为ABCDM2QQQQ=0101,ABCDM1QQQQ=1001,秒十位计数器的状态为ABCDS2 QQQQ=0101。秒个位计数器DS1Q的状态可用来控制500HZ和50HZ 的音频。表2-6-1列出了秒各位计数器的状态,由表可得只有当CM2AM2QQ=11,

DM1AM1QQ=11,CS2AS2QQ=11及AS1Q=1时,音响电路才能工作。音响电路中采用射级输出端,推动8欧德蜂鸣器,三极管基极串接1K欧限流电阻,是为了防止电流过大损坏蜂鸣器,三极管选用高频功率管即可,本设计使用8085NPN型三极管,具有方向特性可以节约一个非门。整点报时的电路图如图3-6

3-6 整点报时电路

本文来自 99学术网(www.99xueshu.com),转载请保留网址和出处

上一篇:狮子和兔子的故事下一篇:实战培训心得体会