多路竞赛抢答器设计

2024-06-28

多路竞赛抢答器设计(精选7篇)

多路竞赛抢答器设计 第1篇

湖南工学院

多路智力竞赛抢答器设计报告书

课程名称:多路智力竞赛抢答器 系部名称:电气与信息工程系 专业名称:电子信息工程技术 班级名称:电信0702 设计人 :裴书茂 学号 :402070220 指导老师: 设计时间:

湖南工学院

(课程设计任务书目录)

前言 „„„„„„„„„„„„„„„„ 1 设计功能要求 „„„„„„„„„„„„„„ 2 抢答器框架设计 „„„„„„„„„„„„„ 3 电路设计 „„„„„„„„„„„„„„„ 4 1)抢答电路设计 „„„„„„„„„„„„ 4 2)定时电路设计 „„„„„„„„„„„„ 53)报警电路设计

„„„„„„„„„„„„ 64)时序控制电路设计 „„„„„„„„„„ 7元器件介绍 „„„„„„„„„„„„„„„„ 8 1)74LS148功能介绍 „„„„„„„„„„„ 8 2)74LS192功能介绍 „„„„„„„„„„„ 9 仿真电路实验 „„„„„„„„„„„„„„„„ 10 1)Proteus仿真电路图 „„„„„„„„„„实物制作 „„„„„„„„„„„„„„„„„ 11 1)原理图 „„„„„„„„„„„„„„„ 11 2)PCB制图 „„„„„„„„„„„„„„„ 12 3)焊接与调试 „„„„„„„„„„„„„„ 13 心得体会 „„„„„„„„„„„„„„„„„ 15 鸣谢 „„„„„„„„„„„„„„„„„„„ 15 参考资料 „„„„„„„„„„„„„„„„„ 15

前 言

随着电子技术的飞跃发展,社会发展步入了信息时代,随着信息时代对人才高素质和信息化的要求,随着高等教育发展的趋势,人们的生活水平提高,对精神文明生活的要求也跟着提高,这对电子领域提出了跟更高的要求。

电子学是一门应用很广泛的科学技术,发展及其迅速。要想学好这门技术,首先是基础理论的系统学习,然后要技术训练,进而培养我们对理论联系实际的能力,设计电路的能力,实际操作的能力,以及培养正确处理数据、分析和综合实验结果、检查和排除故障的能力。同时也加深我们对电子产品的理解。

智力抢答器的设计和测试作为此次课程设计的课题,我们采用一般意义上的设计方案,即采用集成芯片构成电路。

数字电路具有很多的有点:(1)便于高度集成化。由于数字电路采用二进制,因此单元电路的结构简单,允许电路参数有较大的离散性,便于集成。

(2)工作可靠性高,抗干扰能力强。数字信号用二进制表示,数字电路的识别能力强。

(3)(4)(5)数字信息便于长期存放。

数字电路集成产品多,通用性强,成本低。

保密性好。数字信息容易进行加密处理,不易被窃取。

设计功能要求

一、功能要求

1、设计制作一个可容纳5组参赛的数字式枪答器,每组设置一个抢答按钮供抢答者使用。

2、给主持人设置一个控制开关,用来控制系统的清零和抢答的开始。

3、抢答器具有数据锁存和显示的功能。抢答开始后,若有选手按抢答按钮,编号立即锁存,并在LED数码管上显示出选手的编号(1~5),同时扬声器给出音响提示。同时禁止其他选手抢答。

4、抢答器具有定时抢答的功能,抢答时间设定为30秒,当主持人按下开始按钮时,定时器立刻倒计时,并显示。选手在设定的时间内抢答有效。超过时间抢答无效,定时显示器显示00。

二、设计步骤与要求

1、拟定电路的组成框图,要求能实现所有功能,使用的元器件少,成本低。

2、设计并安装电路,要求布线整齐、美观,便于级联和调试。

3、测试所设计抢答器的逻辑功能,满足各项功能要求。

4、画出整机逻辑电路图。

5、写出设计报告。

抢答器框架设计

定时抢答器的总体框图(如图

1.1)所示,它由主体电路和扩展电路两部分组成。主体电路完成基本的抢答功能,即开始抢答后,当选手按动抢答按钮时,能显示选手的编号,同时能封锁输入电路,禁止其他选手抢答。扩展电路完成定时抢答的功能。

图1 如图所示为总体方框图。工作原理为:接通电源后,主持人将开关拨到“清零”状态,抢答器处于禁止状态,编号显示器灭灯,定时器显示设定时间;主持人将开关置;开始“状态,宣布“开始”抢答器工作。定时器倒计时,扬声器给出声响提示。选手在定时时间内抢答时,抢答器完成:优先判断、编号锁存、编号显示、扬声器提示。当一轮抢答之后,定时器停止、禁止二次抢答、定时器显示剩余时间。如果再次抢答必须由主持人再次操作“清除”和“开始”状态开关。

电路设计

一、抢答电路设计

设计电路如图2所示。电路选用优先编码器 74LS148 和锁存器 74LS297 来完成。该电路主要完成两个功能:一是分辨出选手按键的先后,并锁存优先抢答者的编号,同时译码显示电路显示编号(显示电路采用七段数字数码显示管);二是禁止其他选手按键,其按键操作无效。

图2 工作过程:开关S置于”清除“端时,RS触发器的 R、S端均为0,4个触发器输出置0,使74LS148的优先编码工作标志端(图中5号端)=0,使之处于工作状态。当开关S置于”开始“时,抢答器处于等待工作状态,当有选手将抢答按键按下时(如按下S5),74LS148的输出经RS锁存后,CTR=1,RBO(图中4端)=1,七段显示电路74LS48处于工作状态,4Q3Q2Q=101,经译码显示为“5”。此外,CTR

=1,使74LS148 优先编码工作标志端(图中5号端)=1,处于禁止状态,封锁其他按键的输入。当按键松开即按下时,74LS148的 此时由于仍为CTR=1,使优先编码工作标志端(图中5号端)=1,所以74LS148仍处于禁止状态,确保不会出二次按键时输入信号,保证了抢答者的优先性。如有再次抢答需由主持人将S开关重新置“清除”然后再进行下一轮抢答。74LS148为8线-3线优先编码器,表1为其功能表。

表1

二、定时电路设计

节目主持人根据抢答器的难易程度,设计一次抢答的时间,通过预置时间电路对计数器进行预置,选用十进制同步加减计数器74LS192进行设计,计数器的时钟脉冲由秒脉冲电路提供。具体电路如图3。

原理及设计:该部分主要由555定时器秒脉冲产生电路、十进制同步加减计数器74LS192减法计数电路、74LS48译码电路和2个7段数码管即相关电路组成。具体电路如图3所示。两块74LS192实现减法计数,通过译码电路74LS48显示到数码管上,其时钟信号由时钟产生电路提供。原理及设计:该部分主要由555定时器秒脉冲产生电路、十进制同步加减计数器74LS192减法计数电路、74LS48译码电路和2个7段数码管即相关电路组成。具体电路如图3所示。两块74LS192实现减法计数,通过译码电路74LS48显示到数码管上,其时钟信号

图3 由时钟产生电路提供。按键弹起后,计数器开始减法计数工作,并将时间显示在共阴极七段数码显示管DPY_7-SEG 上,当有人抢答时,停止计数并显示此时的倒计时时间;如果没有人抢答,且倒计时时间到时,输出低电平到时序控制电路,控制报警电路报警,同时以后选手抢答无效。结合我们的实际经验及考虑到元器件的成本,我们选择的电阻值为R1=15K,R2=68K,C=10uF,代入到上式中即得,即秒脉冲。

三、报警电路设计

由555 芯片构成多谐振荡电路 ,555 的输出信号再经三极管放大 ,从而推动扬声器发声。

控制电路包括时序和报警两个电路 ,如图4 所示。控制电路需具有以下几个功能。

主持人闭合开关扬声器发声 ,多路抢答器电路和计时电路进入正常状态;参赛者按键时 ,扬声器发声 ,抢答电路和计时电路停止工作;抢答时间到 ,无人抢答 ,扬声器发声 ,抢答电路和计时电路停止工作

图4

四、时序控制电路设计

时序控制电路是抢答器设计的关键,它要完成以下三项功能。

1)主持人将控制开关拨到“开始”位置时,扬声器发声,抢答电路和定时电路进入正常抢答工作状态。

2)当参赛选手按动抢答按键时,扬声器发声,抢答电路和定时电路停止工作。

图5 3)当设定的抢答时间到,无人抢答时,扬声器发声,定时和定时电路停止工作。

根据上面的功能要求,设计的时序控制电路如(图5)所示。图中,门G1 的作用是控制时钟信号CP的放行与禁止,门G2的作用是控制74LS148的输人使能端。图11、4的工作原理是:主持人控制开关从”清除“位置拨到”开始"位置时,来自于(图2)中的74LS279的输出 1Q=0,经G3反相,A=1,则时钟信号CP能够加到74LS192的CPD时钟输入端,定时电路进行递减计时。同时,在定时时间未到时,则“定时到信号”为 1,门G2的输出 =0,使 74LS148处于正常工作状态,从而实现功能①的要求。当选手在定时时间内按动抢答键时,1Q=1,经 G3反相,A=0,封锁 CP信号,定时器处于保持工作状态;同时,门G2的输出 =1,74LS148处于禁止工作状态,从而实现功能②的要求。当定时时间到时,则“定时到信号”为0,=1,74LS148处于禁止工作状态,禁止选手进行抢答。同时,门G1处于关门状态,封锁 CP信号,使定时电路保持00状态不变,从而实现(功能3)的要求。集成单稳触发器74LS121用于控制报警电路及发声的时间。

元器件介绍

一、74LS148功能介绍

在优先编码器电路中,允许同时输入两个以上编码信号。不过在设计优先编码器时,已经将所有的输入信号按优先顺序排了队。在同时存在两个或两个以上输入信号时,优先编码器只按优先级高的输入信号编码,优先级低的信号则不起作用。74148是一个八线-三线优先级编码器。

如图6所示的是八线-三线编码器74148的管脚图引脚图。

图6

二、74LS192功能介绍

74LS192是双时钟方式的十进制可逆计数器。

CPU为加计数时钟输入端,CPD为减计数时钟输入端。LD为预置输入控制端,异步预置。CR为复位输入端,高电平有效,异步清除。CO为进位输出:1001状态后负脉冲输出。BO为借位输出:0000状态后负脉冲输出。

图7

图8

仿真电路实验

一、Proteus仿真电路图

仿真电路课实现抢答功能,由于软件局限,报警电路没有在仿真当中。

实物制作

一、原理图

二、PCB制图

三、焊接与调试

在制板中最重要的一个环节就是线路的印制。在这次的制作中,由于打印的客观原因,使在转印的效果不是很好。做出的实物没有预期的好。这也是以后一定要注意的地方。

1)焊接部分需要注意的是:

严格按照线路的设计进行焊接,首先焊接过孔,在对元器件进行焊接。焊接时间不宜过久,但要完全熔着,以免造成冷焊。焊点的表面要平滑、有光泽。焊点完全冷却前,不可移动。电烙铁不用时要放置于电烙铁架上,并随时保持烙铁头的清洁。焊接完毕,要在烙铁头镀上薄层焊锡,避免氧化,并等冷却后再收存。

2)调试部分需要注意的是:

在通电之前必须对照原理图对线路进行详细的检查。检查是否有虚焊或者为焊接的点。可用万用表进行线路检查,看是否有线路在焊接的时候不慎连接在了一起,如有进行修改。在检查完毕之后才可以通电测试。如果在通电之后任然有问题,应立即断电,再仔细检查。

心得体会

通过这次设计,我的理论知识掌握得更扎实,动手能力明显提高。同时,通过网上搜索等多方面的查询资料,我学到许多在书本上没有的知识,也认识到理论联系实践的重要。在制作当中遇到了许多以前没遇到的困难。我们利用许多的方法去解决所遇到的问题。制作好以后,虽然基本符合设计要求,但我们总觉得欠缺点什么。这次设计,让我感受最深是。在仿真的阶段遇到很多的问题,计时电路不能随抢答而停止,还有就是由于软件的局限在仿真时候没有完成整体电路的调试,这也为最后的实物调试造成了困难。我们一定要具备一定的检查、排除电路故障的能力。我深刻认识到了“理论联系实际”的这句话的重要性与真实性。而且通过对此课程的设计,我不但知道了以前不知道的理论知识,而且也巩固了以前知道的知识。最重要的是在实践中理解了书本上的知识,明白了学以致用的真谛。在整个设计到电路的焊接以及调试过程中,我个人感觉调试部分是最难的,由于在印制电路板的时候效果不好出现很多的断线,这让整个焊接和调试很麻烦。调试是一个经验的积累过程,没有经验是不可能在短时间内将其完成的,可能也是老师要求我们加以提高的一个重要方面吧!

鸣谢

感谢老师的耐心指导;

感谢同学的帮助;

感谢湖南工学院电信系实验老师的大力支持;

感谢湖南工学院对我的支助。

参考文献

宋树祥《高频电子线路》北京大学出版社

谢自美《电子线路设计实验测试》华中科大出版社

多路竞赛抢答器设计 第2篇

工作原理:报警电路由两个555定时器构成。由图中可以看到,接上电源后,当信号输入为高电平时,第一个555连接成的单稳态触发器的输出为低电平,处于稳定状态,这时,右边的多谐振荡器的复位输入为低电平,所以其输出也为低电平.扬声器不发音.当信号输入为低电平时,单稳态触发器被触发,处于暂稳态,此时其输出为高电平,输入到多谐振荡器的复位端,多谐振荡工作,输出为频率为1.2KHz的脉冲波形.这样扬声器就会以1.2KHz的频率发出间歇式声响。要求持续2~3秒,可调节电阻和电容实现。假设t=3s,电阻分别为20k、273k、0.61k、2.4k,电容分别为100pf、100pf、0.22uf、0.01uf。

3.4 定时电路

工作原理:定时电路由两片74LS160构成,其本身为十进制同步计数器,真值表如下所示:

表3.4

CLK X ↑

MR X 1

PE 1 0

S1 X X

S2 Q

X 全0 预置X

↑ X X

0 0 0

0 0 0

1 0 X

1 计数 X 保持 0 保持

当有效脉冲边沿过来时,有两片74LS160构成的31进制加计数器,当片1输出为0001,片二输出为1100,即转换为十进制时是30,此时片1Q0输出和片二Q0、Q1输出经过三输入与非门后为“0”,使片1的CET、CEP输入为0,片1停止工作,所以数码管显示30。

3.5 秒脉冲发生电路

工作原理:由555定时器构成的多谐振荡器经过调整电阻电容能改变秒冲周期。当R1=15K,R2=68K,C1=10uF时,T=1s。

第4章 软件仿真

4.1 仿真电路图

1抢答器电路

4.1.2显示电路

图4.1.2显示电路

4.1.3报警电路

图4.1.3报警电路

4.1.4定时电路

图4.4 定时电路

4.2 仿真过程

在测试好整个电路后,先将开关拨到“清零”状态,抢答器处于禁止状态,编号显示器灭灯,定时器显示设定时间:再将开关置“开始”状态,宣布“开始”抢答器工作。若是主持人开关并未置“开始”,此时进行抢答,此次在规定时间内进行抢答,看指示灯的的亮暗情况及警铃是否响。按后再将开关拨到”清零“状态,抢答器处于禁止状态,编号显示器灭灯,定时器设定时间,再将开关值”开始“状态,之后,先不抢答,等已过了定时器设定的时间后,在进行抢答,观察指示灯的的亮暗情况及警铃是否响。然后再将开关拨到“清零”状态,抢答器处于禁止状态,编号显示器灭灯,定时器显示设定时间:先不将开关值“开始“状态,进行抢答,观察指示灯的的亮暗情况及警铃是否响。选手

在定时时间内抢答时,抢答器完成:优先判断,编号锁存,编号显示,扬声器提示。当一轮抢答之后,定时器停止,禁止二次抢答,定时器显示剩余时间。如果再次抢答必须由主持人再次操作“清除”和“开始”状态。

4.3 仿真结果

仿真过程中,没有错误,所有的可能都进行了仿真,都出现了对应的正确的结果。

第5章 安装调试

5.1 安装调试过程

1.在调试抢答器主电路时,主电路对于抢答按键的输入不能锁存且一上电74ls148的EI端就跳变为高电平锁存芯片,致使74ls48译码输出给数码管为0。 2.调试过程中发现倒计时到0的时候不能停止。

3.在测试报警电路的时候,发现蜂鸣器不工作,报警功能失效。

4.调试计分电路时,发现按键有抖动现象,致使计分时按一次键分值变化好几次。

5.2 故障分析

1.在调试抢答器主电路时,发现主电路对于抢答按键的输入不能锁存且一上电74ls148的EI端就跳变为高电平锁存芯片,致使74ls48译码输出给数码管为0。

解决方法:反复的调试分析其电路原理,并用示波器测试输入的电源,发现纹波非常之大,之后在电源输出端并接一个220uf的电解电容和一个0.1uf的瓷片电容,再用示波器测试发现纹波减少很多;然后再在74ls148的`使能端EI并接一个0.1uf的瓷片电容,很好的消除了开关电源带来的纹波干扰,使抢答电路正常的工作。

2.调试过程中发现倒计时到0的时候不能停止。

解决方法; 高位192显示0的时候,BO2端输出低电平,因此可以利用这信号与门锁住低位192的秒脉冲输入信号。

3.在测试报警电路的时候,发现蜂鸣器不工作,报警功能失效。

解决方法:在通过对单稳触发器74ls121的测试后发现其能正常工作,555在121触发后3脚会有一个高脉冲输出,说明555也能正常工作,在检查后级推动蜂鸣器工作电路时发现两个8050管脚装反,原因是protel99里的封装与8050的封装不同。解决这个问题后可以报警,但是声音很小,于是去掉了100uf的耦合电容,问题解决。

4.调试计分电路时,发现按键有抖动现象,致使计分时按一次键分值变化好几次。

解决方法:在按键输出口并接一个电容,去除抖动,但是效果不佳,但是相对没有加之前情况要好很多,对于纯数字逻辑芯片,可以使用锁存器对按键进行消抖,效果要比电容好得多。

5.3实验结果及分析

接通电源,开关打到预置端,倒计时数码管显示30。主持人拨动开关后,倒计时电路开始从30秒倒数,8路触点开关处于待命状态。

若有选手按下所在开关(抢答),抢答电路显示选手所在编码(0~7),倒计时电路

图 5-1 倒计时状态

停止计时。同时其他选手所在的开关被锁定,抢答无效。

如果30秒倒计时结束后仍无人抢答,LED灯熄灭,倒计时电路锁定为00,抢答不再有效。 这时只需主持人重新将开关打到预置段,整个电路全部回到初态。准备进行下一轮抢答。

图 5-2 抢答显示

图 5-5 计时锁定

收获与体会

这次课程设计的电路是比较复杂的。虽然以前在模电、Protel课程设计中接触过一些电子电路,但在初期还是感到无从下手.设计过程中,我深刻的体会到在设计过程中,需要反复实践,其过程很可能相当烦琐,有时花很长时间设计出来的电路还是需要重做,那时心中未免有点灰心,有时还特别想放弃,此时更加需要静下心,查找原因。设计思路是最重要的,只要你的设计思路是成功的,那你的设计已经成功了一半。因此我们应该在设计前做好充分的准备,像查找详细的资料,为我们设计的成功打下坚实的基础。

设计单元电路阶段,这个阶段可以说是考察数电书本知识的阶段。所有的设计方法还有步骤在数电书上都有,而且还有例题。这个阶段遇到的主要问题就是以前的知识忘记不少,所以做设计的时候要常随手翻阅课本,等于是做了几道数电作业题。这个阶段的难度也不是很大,一般翻课本就可以找到答案并解决问题。

实验阶段可以说是这次设计中最重要的部分,因为以前的只是理论而不是真正的实体。所以说它是最重要的。实验阶段我们遇到的问题有:对软件不熟悉;对实验过程中信号的测量知识学习很少;因为各个模块是分开做而后又组装到一起的,所以兼容性不是很好(也就是不能融合为一个整体,部分工作能行但是接到一起就会出现问题);针对以上几个问题我们作出了以下的“对策”:软件不熟悉,就借来参考书,一步一步的对着学,而且老师给的资料上也有软件的使用说明,所以随着接触的增加软件也就越来越熟悉,这方面的问题不是太难因为一边理论一边学习正好是学习的好方法,而且也学的特别快。

总之,通过这次练习我有了很多收获。在摸索该如何设计电路使之实现所需功能的过程中,特别有趣,培养了我的设计思维,增强了动手能力。在改进电路的过程中,同学们共同探讨,最后的电路已经比初期设计有了很大提高,这次课程设计使我懂得了理论与实际相结合是很重要的,只有理论知识是远远不够的,只有把所学的理论知识与实践相结合起来,从理论中得出结论,才能真正为社会服务,从而提高自己的实际动手能力和独立思考的能力。在设计的过程中遇到问题,可以说得是困难重重,这毕竟第一次做的,难免会遇到过各种各样的问题,同时在设计的过程中发现了自己的不足之处,对以前所学过的知识理解得不够深刻,掌握得不够牢固。此次课程设计,学到了很多课内学不到的东西,比如独立思考解决问题,出现差错的随机应变,和与人合作共同提高,都受益非浅,今后的制作应该更轻松,自己也都能扛的起并高质量的完成项目。最后感谢老师在百忙的工作中为我修改论文,指出错误,希望老师在以后的日子里一帆风顺工作顺利。.

参考文献

《电路与电子学》(第3版)王文辉主编电子工业出版社 《逻辑与数字系统设计》李晶皎主编 清华大学出版社 《数字电子技术基础》 范文兵 清华大学出版社

《数字电路逻辑设计(第三版)》 王毓银 高等教育出版社 2005 《数字电路实验基础》 崔葛瑾 同济大学出版社 2005

《数字电路实验与课程设计》 吕思忠、施齐云 哈尔滨工程大学出版社 《电子线路 设计 实验 测试(第三版)》 谢自美 华中科技大学出版社 《Multsim9在电工电子技术中的应用》 董玉冰 清华大学出版社 2008 《数字电路及制作实例》 陈振官 国防工业出版社 2006

附录

1.总电路图

图 附1-1 电路原理图

2.元件引脚图

图 附2-2 74LS00引脚图

图 附2-1 74LS00引脚图与功能表

图 附2-3 74LS148引脚图

图 附2-4 74LS192引脚图

图 附2-6 共阴数码管引脚图

图 附2-5 74LS48引脚图

图 附2-7 555定时器引脚图

图 附2-8 74LS279引脚图

3.使用仪器设备清单

元器件名称 数量 开关 9个 74LS148 1个 74LS48 6个 74LS192 2个 74LS160 2个 74LS126 1CD4023BCM 1DM7402N 1555定时器 3扬声器 1 电容 20七段数码显示器 6灯泡 7 5V共阴数码管 34输入与非门74LS20 110kΩ电阻 91kΩ电 450kΩ电阻 115kΩ电阻 1100Ω电阻 1发光二极管

毕业设计竞赛抢答器210μF电解电容 10.01μF瓷片电容 1导线 若干

个 个 个 个 个 个 个 个

多路竞赛抢答器设计 第3篇

关键词:单片机,无线抢答,硬件电路,系统程序

单片机的使用领域已十分广泛,如智能仪表、实时工控、通讯设备、导航系统、家用电器等“智能型”工业产品。在知识竞赛抢答中,简单电路设计的抢答器,只靠人的能力很难判断出哪一组先抢答,且故障率高、体积大应用不方便。利用单片机编程设计抢答器,能轻松地分辨出抢答的次序,且具有体积小、故障率低的优点。

1 单片机的选择及无线抢答器的设计

1.1 单片机的选择

单片机选用的是STC89C52,它是一种低功效、高性能CMOS8位微控制器,具有8k在系统可编程Flash存储器。

1.2 无线抢答器的设计思路

采用STC89C52单片机作为整个控制核心。控制系统的4个模块为:显示模块、控制模块、声音模块、无线抢答模块。按键通过开关电路输入各路的抢答信号,经单片机处理,输出控制信号,在数码管上显示答题组,从而实现整个抢答过程。

1.3 无线抢答器的设计

模块部分主要分为STC89C52芯片、独立式键盘、LED数码管显示、无线接收以及信号的放大部分。

(1)独立式键盘:利用8个常开按钮开关和无线模块组成抢答器的输入电路。S1~S8为自复式常开按钮开关,分别作为8位抢答按钮,锁存器的输入端为低电平。

(2)LED数码管显示:译码器的逻辑功能是将每一个输入的二进制代码译成对应的输出高、低电平信号。数码管可以用TTL或CMOS集成电路直接驱动。

(3)无线接收以及信号的放大:单片机将很多任务交给了软件程序去实现,大大简化了外围硬件电路,使外围电路的实现简单方便。由于单片机本身不具有软件编译测试的功能,需要借助其他软件编译,将编译好的程序“烧”入单片机内。

2 硬件电路设计

(1)总体设计:单片机最小系统、显示放大电路、无线接收及改进模块、声光报警、按键控制。

(2)外部振荡电路:一般选用石英晶体振荡器。此电路在加电延迟10 ms后起振振荡器,其振荡频率由石英晶振的频率决定。

(3)复位电路的设计:单片机的第9脚RST为硬件复位端,只要将该端持续2个机器周期的高电平即可实现复位,复位后单片机的各状态都恢复到初始状态,可使用硬件复位和软件复位两种方式。

开关组成了抢答按键,在程序中要消除按键过程中产生的“毛刺”现象,采取最常用的方法,即延时法,只要按键的时间大于“毛刺”脉冲持续时间(约为几毫秒)即可消除“毛刺”。

3 系统软件设计

3.1 抢答器设计流程图

流程图中文本框表示各种操作的类型(如图1~图3所示),文本框中的文字和符号表示操作的内容,流程线表示操作的先后次序。流程图的基本结构为顺序结构,分支结构(又称选择结构),循环结构。

3.2 主程序

抢答器采用C程序设计,C语言的显著特点是用二进制来编写程序,程序的各个部分除了必要的信息交流外彼此之间相互独立。这种结构化方式可使程序层次清晰,便于使用、维护以及调试。C语言是以函数形式提供给用户,这些函数可方便调用,并具有多种循环、条件语句控制程序流向,从而使程序完全结构化。设计的主程序中包括时钟设计程序、定时器中断子程序、LED显示程序以及按键控制子程序。

3.3 中断

中断是指由于某种随机事件的发生,计算机暂停现行程序的运行,转去执行另一个程序,以处理发生的事件,处理完毕后又自动返回原来的程序继续运行。

有5种中断源:外部中断0、外部中断1、定时器中断0、定时器中断1、串行中断。对它们的描述见表1。

3.3.1 中断所用到的寄存器

(1)定时器/计数器控制寄存器TCON:TCON的作用是控制定时器的启动与停止,标志定时器的溢出和中断情况。

(2)中断允许控制寄存器IE:对中断源的开放或屏蔽是由中断寄存器IE控制的,地址为0A8H,既可以按字节寻址,也可以按位寻址。当单片机复位时,IE被清零。

(3)串行控制寄存器SCON:定时/计数器的控制方法,在启动定时/计数器工作之前,CPU必须将一些命令(称为控制字)写入定时/计数器中,这个过程称为定时/计数的初始化。定时/计数器的初始化通过定时/计数器的方式,由寄存器TMOD和控制寄存器TCON来完成。

(1)定时/计数器方式寄存器TMOD(见表2)。

(2)中断程序的编写步骤:根据要求设置IE(中断允许寄存器)的对应位EA(EA—总中断允许位:EA=1,开放所有的中断;EA=0,禁止所有的中断),某个中断源还有相应的中断允许位。

(4)INT0,INT1设定触发方式IT0(外部中断触发方式控制位)当其为0时:低电平触发;当其为1时:下降沿触发。

(5)返回值是Void中断函数名(interrupt)。

3.3.2 为什么采用中断控制方式:

CPU与外部设备的数据传送方式

(1)无条件传送方式:CPU总认为外设处于准备好的状态,外设比较简单。

(2)程序查询传送方式:外设有一个状态(状态存储在寄存器内)。

缺点:CPU工作效率低,CPU处于等待的状态,为解决此缺点便出现了第三种传送方式。

(3)中断传送方式:中断是指由于某种随机事件的发生,计算机暂停现行的程序,转去执行另一程序以处理发生的事件,处理完毕后又自动返回原来的程序继续运行。

优点:

(1)实现分时操作:CPU分为多个I/O设备服务,提高计算机的利用率(提前安排好的函数而中断不同)。

(2)实时响应:CPU能够及时处理应用系统的随机事件,系统的实时性大大增强。

(3)可靠性高:CPU具有处理设备故障及断电等突发性事件的能力,从而提高系统的可靠性。

(4)定时器的初始化:

(1)确定工作方式—对TMOD赋值;

(2)设置初始值—直接将初值写入THO,TLO;

(3)开启定时器的中断—{ETO=1 EA=1};

(4)启动定时器—将TR0或TRI置“1”。

参考文献

[1]李光飞.单片机课程设计实例指导[M].北京:北京航空航天大学出版社,2004.

[2]张文灼.单片机应用技术[M].北京:机械工业出版社,2009.

[3]胡伟.单片机C程序设计及应用实例[M].北京:人民邮电出版社,2003.

[4]冯育长.单片机系统设计与实例指导[M].西安:西安电子科技大学出版社,2007.

[5]郭培源.电子电路及电子器件[M].北京:高等教育出版社,2003.

多路竞赛抢答器设计 第4篇

摘 要:针对实际应用的需要,利用可编程逻辑器件设计了抢答器。该抢答器单元电路的软件设计利用硬件描述语言设计完成。设计了控制主电路、数字显示电路、编码译码电路功能,并利用Quartus工具软件完成了编译仿真验证;硬件选择FLEX10K系列的EPF10K10LC84-4芯片来实现抢答器的系统功能。该抢答器具有很强的功能扩充性,应用效果良好。

关键词:可编程逻辑器件;抢答器;硬件描述语言;仿真;系统可编程

中图分类号:TM571.61

1 FLEX10K

FLEX10K系列器件首次采用嵌入式阵列,其容量高达25万门。由于它的高密度和易于在设计中实现复杂宏函数和存储器,因此可以把一个子系集成在单一芯片上,而每个FLEX10K器件都包含一个嵌入式阵列,每个嵌入式阵列可以实现专用的功能,因此嵌入式阵列可以减少芯片的体积,使其运行速度更快,使用更灵活。

2 VHLD硬件描述语言简介

VHDL最早是由美国国防部提出的。作为硬件描述语言的第一个国际标准,VHDL描述电路行为的算法有很多优点:

(1)设计层次较高,用于较复杂的计算时,能尽早发现存在的问题,缩短设计周期。(2)独立实现、修改方便。(3)移植性强,其源代码已成为一种输入标准,可用于各种不同的EDA工具。(4)VHDL类型众多而且支持用户自定义类型,支持自顶而下的设计方法和多种电路的设计。

3 QuartusⅡ简介

Altera的QuartusⅡ设计软件继承了MAX+plusⅡ的所有优点,是更加完善的PLD设计工具。它提供了完整的多平台设计环境,能够直接满足特定设计需要,为可编程芯片系统(SOPC)提供全面的设计环境。

4 设计思路

设计制作一个竞赛抢答器,每组受控于一个抢答按键,分别为s0、s1、s2、s3,设置主持人控制键rst,用于控制整个系统清零和抢答有效控制。按下复位键时,系统清零;抬起复位键时,抢答开始;系统具有第一抢答信号鉴别和锁存功能。在主持人将系统复位并使抢答有效开始后,第一抢答者按下抢答按钮,电路应记忆下第一抢答者的组别,并封锁其他各组的按钮,即其他任何一组按键都不会使电路响应;系统以两种方式指示第一抢答者:一是通过对应的输出引脚让数码管显示组别号;二是在第一抢答者产生时,蜂鸣器响起。

5 原理分析

5.1 抢答器鉴别模块

在这个模块中主要实现抢答过程中的抢答功能,还能记录抢答者的台号,并且能实现当有一路抢答按键按下时,其余各路被封锁的功能。其中有四个抢答信号s0、s1、s2、s3;抢答使能信号s;抢答状态显示信号states;抢答与警报时钟信号clk2;系统复位信号rst;警报信号tmp。

5.2 报警模块(已包含在抢答鉴别模块里)

在这个模块中主要实现抢答过程中的报警功能,当主持人按下控制键,有人抢答时蜂鸣器开始报警,有效电平输入信号i;状态输出信号q;计数脉冲clk2。

5.3 译码模块

在这个模块中主要实现抢答过程中将选手的台号转换成二进制码并送给数码管显示。

各模块程序如下:

抢答鉴别模块:

library ieee;--抢答鉴别模块

use ieee.std_logic_1164.all;

use ieee.std_logic_unsigned.all;

entity qdjb is

port(rst,clk2:in std_logic;

s0,s1,s2,s3:in std_logic;

states:buffer std_logic_vector(3 downto 0);

tmp:out std_logic);

end qdjb;

architecture one of qdjb is

signal st:std_logic_vector(3 downto 0);

begin

p1:process(s0,rst,s1,s2,s3,clk2)

begin

if rst='0' then

tmp<='0';st<="0000";

elsif clk2'event and clk2='1' then

if (s0='1' or st(0)='1')and not( st(1)='1' or st(2)='1' or st(3)='1' ) then st(0)<='1';

end if ;

if (s1='1' or st(1)='1')and not( st(0)='1' or st(2)='1' or st(3)='1' ) then st(1)<='1';

end if ;

if (s2='1' or st(2)='1')and not( st(0)='1' or st(1)='1' or st(3)='1' ) then st(2)<='1';

end if ;

if (s3='1' or st(3)='1')and not( st(0)='1' or st(1)='1' or st(2)='1' ) then st(3)<='1';

end if ;

tmp<=s0 or s1 or s2 or s3;

end if ;

end process p1;

p2:process(states(0),states(1),states(2),states(3))

begin

if (st="0000") then states<="0000";

elsif (st<="0001") then states<="0001";

elsif (st<="0010") then states<="0010";

elsif (st<="0100") then states<="0011";

elsif (st<="1000") then states<="0100";

end if;

end process p2;

end one;

译码模块:

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

USE IEEE.STD_LOGIC_UNSIGNED.ALL;

ENTITY YMQ IS

PORT(AIN4: IN STD_LOGIC_VECTOR(3 DOWNTO 0);

DOUT7: OUT STD_LOGIC_VECTOR(6 DOWNTO 0));

END YMQ;

ARCHITECTURE ART OF YMQ IS

BEGIN

PROCESS(AIN4)

BEGIN

CASE AIN4 IS

WHEN "0001"=>DOUT7<="0110000"; --1

WHEN "0010"=>DOUT7<="1101101" --2

WHEN "0011"=>DOUT7<="1111001"; --3

WHEN "0100"=>DOUT7<="0110011"; --4

WHEN OTHERS=>DOUT7<="0000000";

END CASE;

END PROCESS;

END ARCHITECTURE ART;

6 结束语

本文利用硬件描述高级语言VHDL设计的优点完成了对应单元的电路设计,使系统较为简洁合理。利用复杂可编程器件实现了抢答器的系统功能,使电路引脚定义自如,实际印板布线灵活,应用可靠。通过以实例可以看出,用VHDL语言设计数字系统,是自上至下地进行设计,十分方便灵活。由于VHDL具有并行同时语句,设计的电路延迟小。

参考文献:

[1]谭会生,瞿遂春.EDA技术综合应用实例与分析[M].西安:西安电子科技大学出版社,2004.

[2]李国洪,胡辉,沈明山.EDA技术与实验[M].北京:机械工业出版社,2008.

四人智力竞赛抢答器课程设计报告 第5篇

四人电子抢答器

二、设计功能

1.基本功能

(1)抢答器同时供4名选手比赛,分别用4个按钮key5 ~ key8表示。(2)设置一个系统重置和抢答控制开关start(key1),该开关由主持人控制。

(3)抢答器具有锁存与显示功能。即选手按动按钮,锁存相应的编号,扬声器发出声响提示,并在数码管上显示选手号码。选手抢答实行优先锁存,优先抢答选手的编号一直保持到主持人将系统清除为止。

2.扩展功能

(1)抢答器具有定时抢答功能,且一次抢答的时间由主持人设定(30秒)。当主持人启动“开始”键后,定时器进行减计时。

(2)参赛选手在设定的时间内进行抢答,抢答有效,定时器停止工作,显示器上显示选手的编号和抢答的时间,并保持到主持人将系统清除为止。

(3)在设定的抢答时间内如果定时时间已到,无人抢答,本次抢答无效,3.自主功能

(1)抢答器具有自动计时功能,当有选手抢答答题时间(10秒)自动开始。(2)报警电路设计:当答题时间和抢答时间将到或结束(还剩3秒时)发出蜂鸣声结束答题。

(3)每个选手有一个记分板,答对题目可以由主持人控制start键给予加分。每加一分响一次。

(4)长按start可以自动准备计时和系统清零,短按开始计时和暂停。

三、原理电路设计:

1、方案

抢答电路:使用74175作为锁存电路,当有人抢答时,利用锁存器的输出信号号将时钟脉冲置零,74175立即被锁存,同时蜂鸣器鸣叫1s,这时抢答无效,使用74148作为编码器,对输入的型号进行编码。输出在共阳数码管显示

主持人电路:

(1)利用2个74168计数器作为倒计时的芯片,当主持人按下抢答按钮时,2个74168被置29,同时将开始倒计时。假如在30秒内有人抢答,则计数器停止倒计时,将锁存器锁存,禁止选手抢答,蜂鸣器鸣叫一秒,停止倒计时。

(2)利用4个74160分别控制4位选手的得分,分别显示在4个共阳数码管。每得1分响一下

(3)start键输出后分为两路,一路是进入key模块,一路控制30秒倒计时。其中为了区分重置计时电路和暂停计时电路。根据线路的特点,一个接到T触发器进行短按控制,一个只接到除颤电路通过100hz的控制进行长按控制。

显示电路和分频电路上课老师讲的很具体明了就不一一详叙。

2、单元电路设计(1)、锁存器电路的设计

锁存器电路采用以74175为中心的锁存器系统,当4个抢答输入端中出现低电平输入时信号时,锁存器立即锁存,禁止抢答,其原本为4个高电平的输出端也变成3高一低,可以利用一个4输入与非门将其与非,再接一个非门后,可以与74175的时钟信号相与非,使得CLK端的输入信号为底电平,从而阻止其余选手的抢答,从而达到锁存的目的74175的真值表如下:

锁存器的单元电路设计如下:

(2)、编码器电路的设计

编码器采样74148作为编码芯片,将输入的信号进行编码,然后输出2二进制码,由于74175为优先编码其,故需要将其未用到的高优先级的端和74175的输出的4与非端进行连接,避免在无人抢答时输出型号。74ls148的真值表如右图:

编码器电路如下:

(4)、倒计时电路的设计 1.30秒倒计时

倒计时电路采用74168作为倒计时芯片,分别并将其输入端置2和9,clk信号输入端采样1hz的信号输入。同时可以使长按重置,短按暂停和开始。主要是通过key板块的T触发器完成的。

倒计时单元电路如下:

2.10秒倒计时

倒计时电路采用74168作为倒计时芯片,并将其输入端置9,clk信号输入端采样1hz的信号输入。

然后在外部通过1、2、3、4的与门输入,key_done控制T触发器输出保持脉冲再通过5、6、7号线的或门来控制一个开始的输入实现抢答后的自动开始计时。

(5)、蜂鸣器的设计

通过或非门、与门和或门的控制使得在倒计时器在倒数最后三秒时响。到0时停止声响。

(6)、记分电路

通过4个74160的分别控制4个显示器。输入端时key板块后面的输出。

3、整体电路。

四、电路和程序调试过程与结果:

本电路在连接后,经测试,各部分的功能均能实现,显示正确。

五、总结

本电路使用了锁存器,编码器等构成,倒计时电路使用了74168等电路实现倒计时,并利用使能端及门电路,实现各项锁存,鸣叫,清零等功能,总结如下:

优点:电路功能原理清晰,各项功能均达到了要求,显示准确,反应灵敏,无竞争冒险现象,基本满足了普通竞赛的抢答要求。

缺点:必须长按选手键才能加分。

心得体会:

1.开始根据书上的提示想实现电子抢答器的基本功能,结果一个都不能实现。然后利用仿真才知道书上的key板块电路的与非门和或门的使用是错的。改正之后才能实现电子抢答器的基本功能。

2.在设计倒计时电路时,开始现在网上找到了一些资料。当时书上推荐的是74190.最后经过试验,我感觉74168更能满足我的需要。在完成30秒倒数的时候.我觉得不如在答题期间也加上一个10秒的倒数。对此,我开始也想大改一下电路。后面一想也不用,就把原来的电路不显示就可以了。

3.在设计完倒计时电路之后,我参考了设计数字钟的报时功能,加以改进让我的倒计时在倒数3秒的时候能倒计时。但是出现一个问题就是0秒的时候会一直响,这样开始还没计数的时候会很麻烦。这个时候我加以取巧,使得在1秒的时候才响。这样才算解决了这个问题。

4.设计加分器的时候,我开始设计的是每次加分是每个都加分,后面实在想不出来,就用1、2、3、4号线分别控制一个74160.但是这样的话每次加分有一套很复杂的按键顺序。后面删减了一些,变成长按选手键才能加分的结果。

5.设计完30秒倒计时的时候,要一个单独的按键才能置位,但是暂停还是利用start键,然后我分析了一下,把reset键直接和start键用一条线。这样,利用T触发器实现了长按start键重置时间,短按可以暂停和开始。这个有点类似ios系统的清理后台软件。

6.最后我的一项设计就是完善倒计时电路,我想让每个倒计时都能自动开始。之前的30秒抢答器已经完成,就剩下10秒的抢答器。这次我用的是实验的方法把我认为的可以完成这项自动开始的设计都试了一下。最后利用一个置位,两个开始才能完成这个自动。在这一块的原理分析,我做的不是很好,主要是实验,因为有些地方感觉和我原来在书里学的不太一样。

智力竞赛抢答器逻辑电路设计 第6篇

设计任务和要求

用TTL或CMOS集成电路设计智力竞赛抢答器逻辑控制电路,具体要求如下:

1.抢答组数为4组,输入抢答信号的控制电路应由无抖动开关来实现。

2.判别选组电路。能迅速、准确地判处抢答者,同时能排除其它组的干扰信号,闭锁其它各路输入使其它组再按开关时失去作用,并能对抢中者有光、声显示和呜叫指示。

3.计数、显示电路。每组有三位十进制计分显示电路,能进行加/减计分。

4.定时及音响。

必答时,启动定时灯亮,以示开始,当时间到要发出单音调“嘟”声,并熄灭指示灯。抢答时,当抢答开始后,指示灯应闪亮。当有某组抢答时,指示灯灭,最先抢答一组的灯亮,并发出音响。也可以驱动组别数字显示(用数码管显示)。回答问题的时间应可调整,分别为10s、20s、50s、60s或稍长些。

多路竞赛抢答器设计 第7篇

1、系统整体框图设计

如图1所示, 无线智能抢答器系统主要分为选手节点电路和主持人电路两部分组成。选手电路的节点个数可根据需要扩充, 电路主要由主控电路、电源电路、键盘电路、显示电路及无线收发电路组成;主持人电路主要由主控电路、电源电路、键盘电路、显示电路、语音电路及无线收发电路组成。

2、系统功能设计

本系统在考虑抢答器形状、布线、传输距离等因素的前提下, 设计了自检、多种犯规处理、多功能计时、任意调时、无线分数设置、真人语音播报等功能。

2.1 系统功能设计

(1) 自检功能:在主持人节点设置“自检”按键, 通过无线收发模块向选手发送“点亮”LED命令, 如选手节点指示灯亮, 说明选手节点正常工作, 否则予以检查更换, 这是本系统设计的优点之一。

(2) 犯规处理功能:本系统设计了偷答、违规、超时三种情况的处理, 即如果选手在不是规定时间范围内进行抢答, 视为犯规, 主机自动语音播报“X号犯规”, 并且主机上“偷答”灯亮, LED显示屏显示“偷答”组的组号, 主持人可以根据情况通过加减分键S8对其罚分 (视比赛活动的规则执行) , 按“复位”键S4, 返回预备模式;如果选手在“抢答倒计时”启动前即按抢答按钮, 则被判为违规, 系统由蜂鸣器发违规提示音, 并语音提示违规组别并在LED显示屏上显示;正常“抢答倒计时”到0后仍无人抢答, 则主机通过蜂鸣器鸣笛提示时间到。

(3) 多功能计时功能:本系统具有抢答、答题两种计时功能, 时间可任意设定, 可随时中途退出到计时, 倒计时在最后5秒时有读秒提示声, 到0后, 主机鸣笛并语音提示“时间到”。在倒计时中间如果有组别抢答, 主机LED显示屏马上显示该组的组号, 系统语音报组, 提示该组抢答成功。此时, 如果回答需要答题倒计时, 可以按下主机上的“答题”键S3, 此时答题倒计时启动, 选手可以开始答题, 当选手正常回答完该题后, 可以按“复位”键S4, 系统返回到预备模式。如果抢答和答题时间倒计到0后, 自动鸣笛并返回到“00”闪烁显示状态。

(4) 任意调时功能:本抢答器系统抢答倒计时时间默认为10秒钟, 如果时间不合适, 可以按“抢答时间调整”键S5进入抢答倒计时间的设定状态, 可以按“+”、“-”键S7、S8可以在1-999之间任意设置, 单位为秒, 设置完成按“复位”键退出;系统默认答题倒计时时间为60秒钟, 如果该时间不合适, 可以按“答题时间调整”键S6进入答题倒计时间的设定状态。按“+”、“-”键S7、S8, 可以在1-999之间任意设置, 单位为秒, 设置完成按“复位”键退出。

(5) 无线分数设置功能:比赛开始时给每组设定基本分, 然后根据答题成功、违规等情况由主持人或专门人员进行每组的无线加分、减分, 此为本系统的优点之一。

(6) 真人语音播报功能:本系统为增加人性化的设计, 利用语音芯片实现真人语音提示、语音报组, 播出“X组抢答成功”, “X组抢答违规”, “答题时间到”, “恭喜答对了”, “很遗憾答错了”等等, 增加现场的竞争气氛。

3、系统硬件设计与实现

3.1 系统硬件设计

(1) 电源电路设计:根据芯片工作电压需要主要有射频供电3.3V、处理器5V、LED显示屏12V三种电源电路, 其中5V、12V直接用开关电源实现, 3.3V采用自制可调电源实现。

(2) 主控电路设计:在考虑性价比和市场前景等因素下, 本系统采用STC89C52单片机, 利用储存程序来完成软件的设计, 从而控制系统的各个模块, 以实现各项功能。

(3) 无线收发电路设计:本系统采用2.4GHZ的NRF24L01双工无线收发模块, 采用标准5*2DIP间距接口, 便于连接更换。工作在GFSK调制方式, 126频道, 可满足多点扩充, 整体达到2Mbps的传输速率, 在通常情况下根据

调试最大通信距离约为30—50米, 式中[Los]为传输损耗 (单位为d B) , d为传输距离 (km) , f为工作频率 (MHz) 。

(4) 键盘电路设计:本系统选手键盘电路为2键, 主持人键盘电路为11键, 用软件延时的方法来避免抖动阶段, 这一延时过程一般大于5ms。

(5) 语音电路设计:WT558D系列芯片内部包含有自动增益控制、麦克风前置扩大器、扬声器驱动线路、振荡器与内存等的全方位整合系统功能。实现录音、放音功能, 调节扬声器放音的大小, 声音可以足够大。这样可以加入人为声音, 使放出的声音多样化, 适合各种场合, 从而达到更好的效果。

(6) 显示电路设计:由自制的大型数码管显示选手组别、时间、分数。采用三极管8550、光耦做为位码驱动, 电路结构简单, 并且可以实现单片机I/O口的并用, 显示效果直观, 明亮, 调试容易, 成本较低。

3.2 系统硬件实现 (图2, 3)

4、系统程序设计与实现

4.1 程序设计基本流程图

本系统程序设计根据功能的不同分为选手节点程序设计和主持人节点程序设计, 整个开发过程在Keilc环境下开发完成, 具体流程如下:

如图4和5所示, 请程序正好验证了前面的功能设计, 程序调试通过, 应用到实际系统中, 得到了较好的通信效果。

4.2 系统实现的部分程序

void main (void)

5、系统调试

本系统在空旷地和教室中分别测试, 整体效果较好, 验证了该系统的稳定性, 具体测试数据如表1。

6、结语

本文利用STC89C52单片机及NRF24L01无线收发模块设计节点, 利用自制的LED数码管及驱动电路实现高亮度显示, 设计了一款低成本、多功能的无线智能抢答器, 经实物制作后测试验证, 传输距离长, 延时短, 显示清晰, 为多种竞赛场合的灵活应用提供了可能。

摘要:针对市场上有线抢答器节点扩充困难, 而无线抢答器价格过高的问题, 利用STC89C52单片机和NRF24L01无线收发模块设计出一个能够双工通信, 自动检测、自动恢复、自动语音播报的无线智能抢答器系统, 本系统具有成本低、节点扩充容易, 经实验测试, 性能稳定, 能够满足各种抢答竞赛需求。

关键词:无线智能抢答器,NRF24L01,多路抢答,自检

参考文献

[1]杨晓辉, 张彤, 姜俊海.智能抢答器的设计与制作[J].长春大学学报, 2000 (6) :24~25.

[2]李剑心.多路无线遥控接收系统[J].科技信息, 2008 (07) :234~236.

[3]林龙森.基于nRF905的八路无线抢答系统[J].黎明职业大学学报, 2010 (3) :34~36.

[4]臧殿红.基于AT89S51的八路抢答器的设计[J].科技信息, 2011 (25) :98~110.

上一篇:清华国际英语学校小升初英语试卷下一篇:唐诗解析